【verilog进阶】输入序列连续的序列检测

描述

请编写一个序列检测模块,检测输入信号a是否满足01110001序列,当信号满足该序列,给出指示信号match。

模块的接口信号图如下:

      

模块的时序图如下:

请使用Verilog HDL实现以上功能,并编写testbench验证模块的功能

输入描述:

clk:系统时钟信号

rst_n:异步复位信号,低电平有效

a:单比特信号,待检测的数据

输出描述:

match:当输入信号a满足目标序列,该信号为1,其余时刻该信号为0

问题分析:

当检测到第一个信号为true时,继续检测第二个信号……当检测到第n个信号为ture时,继续检测第n+1个信号,直到所有信号检测均为treu输出match为1,方法一:采用状态机来实现。方法二:采用数组缓存法

本文采用方法二来实现,用一个always块接收采集的下一个信号加入缓存序列,最先前的信号则移位溢出,移位操作可以采用位拼接和位截取实现。

移位操作可以表示为

seq<={seq[6:0],a};

 完整代码

`timescale 1ns/1ns
module sequence_detect(
	input clk,
	input rst_n,
	input a,
	output reg match
	);
	reg [7:0] seq;

	always@(posedge clk or negedge rst_n)begin
	if(!rst_n)
	match<=1'b0;
	else if(seq==8'b01110001)
	match<=1'b1;
	else
	match<=1'b0;
	end

	always@(posedge clk or negedge rst_n)begin
	if(!rst_n)
	seq<=8'b00000000;
	else
	seq<={seq[6:0],a};
	end

endmodule
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

绿茶冰

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值