VHDL学习笔记(一)

VHDL基本框架

  1. VHDL由实体和结构体组成

实体表达与实体名一般格式

ENTITY e_name IS

  PORT( p_name : port_m data_type;

               ```

              p_namei : port_mi data_type

           );

END ENTITY e_name;

结构体一般格式:

ARCHITECTURE arch_name OF e_name IS

[说明语句] ----定义数据对象、数据类型、元件调用说明等;

BEGIN

     (功能描述语句)

END ARCHITECTURE arch_name ;

  • 实体描述电路器件的外部情况和各信号端口的基本性质。
  • 结构体描述电路器件的内部逻辑功能和电路结构。
ENTITY mux21a IS               --实体,mux21a为实体名;
     PORT(a,b,s : IN BIT;      --BIT数据类型只有0和1;
          y : out bIT
          );
END ENTITY mux21a;
   ARCHITECTURE bhy OF mux21a IS   --结构体
      BEGIN
      PROCESS(a,b,s)          --进程语句起始,a,b,s为敏感信号,PROCESS语句的执行依赖于敏感信号 
           BEGIN
           IF(S='1') THEN y<=a;
           ELSE y<=b;
           END IF;
     END PROCESS;
   END ARCHITECTURE bhy;
  1.  端口语句和端口信号名
  • 必须由端口语句PORT()来引导,结尾处加;
  • 端口数据的流动方向于方式定义          

 (1)IN:输入端口。数据只能从此端口被读入实体中。

(2)OUT:输出端口。数据只能从此端口从实体向外流出。

(3)INOUT:双向端口。信号即可从此端口流出也可输入

(4)BUFFER:缓冲端口

  • 端口数据类型:
  •  BIT:'0'、'1'; --注意:二进制逻辑位‘1’和‘0’必须加单引号。
  • INTEGER:整数类型
  • BOOLEAN:布尔类型
  • STD_LOGIC:标准逻辑类型
  • 标识符:实体名,结构体名,信号名或端口名等,不应用数字、数字起头的文字或中文来表述
  • 关键词:例如:ENTITY、ARCHITECTURE、END、IF、ELSE等,在遇到关键词会以特定颜色显示。

  • 进程语句和顺序语句
  • 由PROCESS引导的语句称为进程语句,所有描述语句须放在进程语句中。

PROCESS(a,b,s)   ---a,b,s为敏感信号表

  • 2
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值