Modelsim的使用

(1) 在Windows资源管理器中,新建一个子目录。
(2) 打开一个文本编辑器【本文使用记事本,需注意文本打开方式,否则Modelsim中无法编译,如图一所示】,输入设计块和激励块源代码,并将它们保存在新建的子目录中,文件名分别为mux2to1_df.v和test_mux2to1_df.v

(3) 打开Modelsim软件,创建一个新的工程项目(Test_mux2to1.mpf),添加已经保在的源文件(mux2to1_df.v和test_mux2to1_df.v)。
(4) 在Project子窗口中,编译所有的源文件。如果编译成功,则代码文件Status栏显示为绿色的“✓”。如果编译出错,则会给出相关错误信息,修改代码,然后再重新编译,直到没有错误编译。
(5) 将设计载人仿真器,开始仿真。编译成功之后,仿真器通过调用 Verilog HDL 的顶层模块将设计载人到仿真器中,弹出与仿真相关的子窗口,且设置仿真时间从0时刻开始。
(6) 将需要观察的信号添加到 Wave 子窗口中。
(7) 执行仿真命令,得到如图四所示的输人、输出波形;同时,还得到图五以文本方式显示的仿真结果。可见,在0~20 ns 期间,由于 PS =0,所以输出 PY 与输人PD0相同;在20~40 ns 期间, PS =1,故输出 PY 与输人PD1相同。表明该设计块描述的逻辑功能是正确的。

结果如下图872ea2e1a91c4428846ed8279693028a.jpg

aaf6d8a204e64846a53d285f3ac84ffe.jpg 

 


(8) 结束仿真,退出 ModelSim 仿真器。

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值