always_ff、always_latch、always_comb——SV回顾笔记(1)

本文章旨在学习总结备份、便于日后查询,由于是个人总结,如有错误欢迎留言指出;另外,内容大部分来自网络、书籍、和各类手册,如若侵权请告知,马上删帖致歉。


在SystemVerilog中always块细分为:always_ff、always_latch、always_comb三种,分别用于描述触发器、锁存器、组合逻辑。

always_ff:用于描述触发器,@后必须跟边沿敏感型信号。

always_ff @ (posedge <时钟信号>, negedge <复位信号>)

always_latch:用于描述锁存器,工作在时钟电平而非时钟边沿上。

always_latch begin

  if(条件) a_latch<=sth;

end

always_comb:用于描述组合逻辑。always_comb在零时间自动执行,防止死锁。在综合时,如果不满足综合逻辑会报错。

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值