SystemVerilog语法基础——6电路语句(四):always_ff

电路语句(四):always_ff

前言

视频语法学习:B站链接
笔记原地址:https://github.com/Tan-YiFan/DigitalLogic-Autumn2020/tree/syntax/syntax网络不好,可能打不开。

一、always_ff

always_ff用于描述触发器。

//下面代码是D触发器
always_ff @(posedge clk,negedge resetn) begin
    if (~resetn) begin
        q <= '0;//非阻塞赋值,也可以称为并行赋值
    end else if (en) begin
        q <= d;
    end
end

always_ff里可以描述很复杂的逻辑,但那样写不直观。写代码时,也应该参考理论课上讲的状态方程

//将时序逻辑触发器和组合逻辑分开写

//用always_ff仅仅描述触发器的部分
logic [3:0] a, a_nxt;

always_ff @(posedge clk) begin
    if (~resetn) begin
        a <= '0;
    end else if (en) begin
    	a <= a_nxt;
//        {a, b} <= {a_nxt, b_nxt};
    end
end
//always_comb描述的是行为,用阻塞赋值
always_comb begin
    a_nxt = a;
    // ...
    unique case(a)
        4'd3: begin
            a_nxt = 4'd2;
        end
        default: begin
            
        end
    endcase
end

二、组合逻辑 & 时序逻辑

组合逻辑时序逻辑时序逻辑的区别在于,结果不会立即存到相应电路中,而是有一个 d 触发器。
时序逻辑描述并列触发器,always_comb 描述电路行为。因此阻塞赋值放在 always_comb,而非阻塞赋值放在 always_ff。

  • 5
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
SystemVerilog是一种硬件描述语言(HDL),用于描述数字电路的行为和结构。它是Verilog语言的扩展,引入了许多新的特性和语法。 以下是SystemVerilog的一些基础语法: 1. 模块定义:使用`module`关键字定义模块,模块是SystemVerilog中的基本构建单元。例如: ``` module MyModule(input wire clk, input wire rst, output reg data); // 模块内部逻辑 endmodule ``` 2. 端口声明:模块的输入输出端口使用`input`和`output`关键字声明,可以使用`wire`或`reg`类型进行声明。例如: ``` input wire clk; // 输入端口 input wire rst; output reg data; // 输出端口 ``` 3. 数据类型:SystemVerilog支持多种数据类型,包括整数、浮点数、位向量、数组等。例如: ``` int a; // 整数类型 real b; // 浮点数类型 bit [7:0] c; // 8位位向量类型 reg [3:0] d; // 4位寄存器类型 int e [3:0]; // 整数数组类型 ``` 4. 运算符:SystemVerilog支持常见的运算符,包括算术运算符、逻辑运算符、位运算符等。例如: ``` a = b + c; // 加法 d = a & b; // 位与 e = (a > b) ? c : d; // 条件运算符 ``` 5. 控制语句SystemVerilog支持常见的控制语句,如条件语句、循环语句等。例如: ``` if (a > b) begin // 执行语句 end else if (a < b) begin // 执行语句 end else begin // 执行语句 end for (int i = 0; i < 10; i++) begin // 循环体语句 end while (condition) begin // 循环体语句 end ``` 这些是SystemVerilog的一些基础语法,还有其他更高级的特性和语法可以用于描述复杂的数字电路。希望这些信息对你有帮助!如果你还有其他问题,请继续提问。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值