always_comb,always_ff,和always_latch语句

本文介绍了Verilog中用于描述控制逻辑块的关键字always_comb、always_ff和always_latch。always_comb用于定义组合逻辑,避免产生意外的latch。always_ff则用于声明边沿触发的时序逻辑,需要指定敏感信号列表。通过这些关键字,设计者能更精确地指导综合工具,减少设计错误。
摘要由CSDN通过智能技术生成

verilog中控制逻辑块

组合逻辑块:

 always @(*) 
  if(a > b)
    out = 1;
  else
    out = 0;

时序逻辑块:

always @(posedge clk) //时序逻辑 flip-flop触发器
  if(en) 
    out <= in;

systemverilog中控制逻辑块

SystemVerilogalways关键字细化了,对不同的设计要求有不同的关键字:

always_comb //组合逻辑
 if(a > b)
   out = 1;
 else
   out = 0;

combcombinational的缩写,always_comb表示设计者想要设计一个组合逻辑电路。同时不必再写敏感信号列表。我们在设计组合逻辑电路时,一件最重要的事就是不要一不小心搞一个latch出来。always_comb会告诉综合工具,这里需要的是一个组合逻辑电路。如果真的需要latchSystemVerilog准备了专门的关键字:

  always_latch //latch
   if(clk)
    out = in;

always_latch是电平敏感的,它也不需要敏感信号列表,它会告诉综合工具,这里我就是需要一个latch

always_combalways_latch极大的降低了unintentional latch的出现。这是对电路设计的一大提升

对于flip-flop触发器的设计,也有专门的关键字:

always_ff @(posedge clk) //flip-flop触发器
 if(en)
   out <= in;

ffflip_flop的缩写,它需要敏感信号列表并且是边沿触发的,所以敏感信号列表里的信号,都需要加关键字posedgenegedge。假如我们忘记了写posedge或者negedge

  always_ff @(clk) //错误
   if(en)
    out <= in;

综合工具会发出警告:这里应该是flip-flop,可你写的不是flip-flop。使用always则不会收到这样的警告

SystemVerilogalways细化成always_comb, always_ff, 和always_latch使综合工具可以自动检查各种细节,降低了设计失误的可能

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值