时序逻辑过程块

时序逻辑过程块

always_ff描述时序逻辑
always_ff专用过程块表示设计的意图是描述可综合的时序逻辑。

always_ff@(posedge clock,negedge resetN)
	if(!resetN) q <=0;
	else q <= d;

always_ff过程块的敏感表必须明确列出。这样就可以根据敏感表的内容,确定时序逻辑的置位/复位是同步还是异步的。
工具会验证always_ff的内容表达的时序逻辑
always_ff会限制生成可综合敏感表
always_ff过程块要求明确指定敏感表中的信号是posedge或negedge。这是对时序逻辑敏感列表的综合要求。使这条规则成为句法要求有利于确保仿真结果和综合结果一致。always_ff过程块内部的事件控制表示的不是敏感表,并且是不允许的。这也是对时序逻辑RTL模型的综合要求。

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值