触发器电路仿真与硬件实现

一、RS锁存器

        1.使用logsim仿真

其中有两种搭建方式,一种是与非门,另一种是或非门,其结构与相应的真值表如图所示:

74LS02、或非门搭建

输入R输入S输出Q
011
100
00保持上一状态
110

·

      

74SL00、与非门构建

输入R输入S输出Q
010.
101
001
11保持上一状态

        2.实验操作

                由于器材有限,使用74LS00(与非门)搭建 

二、D型触发器

        1.使用与非门设计D型触发器(logsim)

                

DSCPQ
0010保持现状
1100保持现状
00110
11011

                由于cp等于0时,此时D无论什么都无法改变Q的值

        2.维持阻塞D型触发器

                 

只有当cp上升的瞬间,D的值才会发生改变

三、总结

        本次主要制作了RS锁存器和D型触发器,并了解两者的运行原理并对真值表验证记录。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值