【system verilog】OOP属性下的构造函数new,虚方法virtual和cast方法(1)

前言

今天和某大佬交流了下System Verilog中的OOP属性,本来自己对这块一直感觉还比较良好,但是跟大佬交流后才发现原来要学的还有很多。

拓展类的构造函数

具体内容可以参考"IEEE system verilog标准" 8.7 "constructors"/8.8 "typed constructor calls"以及绿皮书8.1.4节"拓展类的构造函数"。

要点1:无论是否super.new,子类new都会自动调用父类的new;

class father;
    int flow_id;
	
    function new(int id = 100);
        $display("new father");
        flow_id = id;
    endfunction: new
endclass: father

class son extends father;
    function new();
        $display("new son, flow_id='d%0d", flow_id);
    endfunction: new
endclass: son

//env
...
    begin
        father obj_fa1;
        father obj_fa2;
	son    obj_sn1;
	son    obj_sn2;
		
	obj_fa1 = new(15);
	obj_sn1 = new();
    end
...

打印结果如下:

当然了如果不调用super.new的话,就无法改变传参值。

要点1:如果父类new有且必须传参,子类new必须调用super.new并且进行传参;

如果将上述代码father类呢new修改为如下形式,编译时会报错。

class father;
    int flow_id;
	
    function new(int id);
        $display("new father");
        flow_id = id;
    endfunction: new
endclass: father

class son extends father;
    function new();
        $display("new son, flow_id='d%0d", flow_id);
    endfunction: new
endclass: son

//env
...
    begin
        father obj_fa1;
        father obj_fa2;
	son    obj_sn1;
	son    obj_sn2;
		
	obj_fa1 = new(15);
	obj_sn1 = new();
    end
...

报错如下:

要点3:父类句柄可以直接构造子类对象,反之则会报错;

class father;
    int flow_id;
	
    function new(int id);
        $display("new father");
        flow_id = id;
    endfunction: new
endclass: father

class son extends father;
    function new();
        super.new(30);
        $display("new son, flow_id='d%0d", flow_id);
    endfunction: new
endclass: son

//env
...
    begin
        father obj_fa1;
        father obj_fa2;
	son    obj_sn1;
	son    obj_sn2;
        
        obj_fa2 = son::new();
	obj_sn2 = father::new(40);
    end
...

编译时未报错,但是在run时会报错如下:

该报错实际与子类句柄指向父类实例的error是一致的,都会被禁止。

如果想到其他的再来补充。。。

  • 4
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

尼德兰的喵

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值