【芯片前端】基于DC综合的逻辑深度与cell count汇总:加法器

统计结果

C = A + B

输入位宽1输入位宽2输出位宽逻辑深度cell count
1024102410243211440
512512512295444
256256256272591
128128128261217
64646421600
32323224265
1616161922
888109
444512

备注

4bit + 4bit的dc映射,cell cout = 12

/
// Created by: Synopsys DC Expert(TM) in wire load mode
// Version   : L-2016.03-SP1
// Date      : Wed Nov 17 10:39:36 2021
/


module test ( clk, rst_n, in_data1, in_data2, out_data );
  input [3:0] in_data1;
  input [3:0] in_data2;
  output [3:0] out_data;
  input clk, rst_n;
  wire   n1, n2, n3, n4, n5, n6, n9, n10;

  OAI2BB1X1 U13 ( .A0N(in_data1[1]), .A1N(in_data2[1]), .B0(n6), .Y(n3) );
  OAI211XL U14 ( .A0(in_data1[1]), .A1(in_data2[1]), .B0(in_data1[0]), .C0(
        in_data2[0]), .Y(n6) );
  XOR2X1 U15 ( .A(n9), .B(n10), .Y(out_data[1]) );
  NAND2X2 U16 ( .A(in_data1[0]), .B(in_data2[0]), .Y(n9) );
  XNOR2X1 U17 ( .A(in_data2[1]), .B(in_data1[1]), .Y(n10) );
  XOR2X1 U18 ( .A(in_data2[0]), .B(in_data1[0]), .Y(out_data[0]) );
  XOR2X1 U19 ( .A(n3), .B(n5), .Y(out_data[2]) );
  XOR2X1 U20 ( .A(in_data2[2]), .B(in_data1[2]), .Y(n5) );
  XOR2X1 U21 ( .A(n1), .B(n2), .Y(out_data[3]) );
  XOR2X1 U22 ( .A(in_data2[3]), .B(in_data1[3]), .Y(n2) );
  AOI2BB1X2 U23 ( .A0N(n3), .A1N(in_data1[2]), .B0(n4), .Y(n1) );
  AOI21X1 U24 ( .A0(in_data1[2]), .A1(n3), .B0(in_data2[2]), .Y(n4) );
endmodule

8bit + 8bit的dc映射,cell cout = 9:

module test_DW01_add_0 ( A, B, SUM );
  input [7:0] A;
  input [7:0] B;
  output [7:0] SUM;
  wire   n1;
  wire   [7:2] carry;

  XOR3XL U1_7 ( .A(A[7]), .B(B[7]), .C(carry[7]), .Y(SUM[7]) );
  ADDFX1 U1_6 ( .A(A[6]), .B(B[6]), .CI(carry[6]), .CO(carry[7]), .S(SUM[6])
         );
  ADDFX1 U1_5 ( .A(A[5]), .B(B[5]), .CI(carry[5]), .CO(carry[6]), .S(SUM[5])
         );
  ADDFX1 U1_4 ( .A(A[4]), .B(B[4]), .CI(carry[4]), .CO(carry[5]), .S(SUM[4])
         );
  ADDFX1 U1_3 ( .A(A[3]), .B(B[3]), .CI(carry[3]), .CO(carry[4]), .S(SUM[3])
         );
  ADDFX1 U1_2 ( .A(A[2]), .B(B[2]), .CI(carry[2]), .CO(carry[3]), .S(SUM[2])
         );
  ADDFX1 U1_1 ( .A(A[1]), .B(B[1]), .CI(n1), .CO(carry[2]), .S(SUM[1]) );
  AND2X2 U1 ( .A(B[0]), .B(A[0]), .Y(n1) );
  XOR2X1 U2 ( .A(B[0]), .B(A[0]), .Y(SUM[0]) );
endmodule


module test ( clk, rst_n, in_data1, in_data2, out_data );
  input [7:0] in_data1;
  input [7:0] in_data2;
  output [7:0] out_data;
  input clk, rst_n;


  test_DW01_add_0 add_13 ( .A(in_data1), .B(in_data2), .SUM(out_data) );
endmodule

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

尼德兰的喵

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值