【system verilog】OOP属性下的构造函数new,虚方法virtual和cast方法(3)

前言

接 https://blog.csdn.net/moon9999/article/details/106748050,想到哪补充到哪。

cast方法

我们需要明确system verilog中的句柄指向规则,概括起来就是:

本类句柄可以指向本类实例;

父类句柄可以指向全体子类及再传子类实例;

原则上子类句柄不可以指向父类句柄,但是当父类句柄指向子类实例时,子类句柄可以指向父类句柄;

画一个示意图表示允许的情况如下:

就如同,父类是“电器”,子类是“电冰箱”,你可以用“电器”指代任何一个电冰箱实体,但是却不能用“电冰箱”去知道任何一个电器实体,但是当这个电器实体就是一个电冰箱时,你就可以用“电冰箱”去指代。

原则上上面这个指向图,只要保证首尾符合“父类指向父类实体”、“父类指向子类实体”、“子类指向子类实体”,就都是ok的,比如这样指向:

例如如下的代码:

father obj_fa1;
father obj_fa2;
son    obj_sn1;
son    obj_sn2;
grandson obj_gs1;
		
obj_gs1 = new();
$cast(obj_fa1, obj_gs1);
$cast(obj_sn1, obj_fa1);
$cast(obj_fa2, obj_sn1);
$cast(obj_sn2, obj_fa2);
//son -> father -> son -> father -> grandson
		
obj_sn2.vir_note();
obj_sn2.novir_note();

编译通过,打印如下:

 

那么当我们明晰了这一点,剩下的事情就非常简单了,$cast(obj1, obj2)方法实际上就是在做下面这件事:检查obj1和(obj2实际指向的实体)是不是满足“父类句柄与父类实体”、“父类句柄与子类实体”、“子类句柄与子类实体”的关系,如果满足则完成指向,如果不满足则报错。

  • 2
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

尼德兰的喵

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值