【system verilog】OOP属性下的构造函数new,虚方法virtual和cast方法(2)

前言

接上一篇 https://blog.csdn.net/moon9999/article/details/106747444,本来想写在一起的,但是后来我想想还希望继续往前面补充,因此还是分成几次来写吧。

虚方法virtual

要点1:虚方法并不会影响方法的重载,无论是否定义为虚方法,均可重载;

要点2:重载时,无论是否为虚方法,均可以super父类的该方法;

class father;
	int flow_id;
	
	function new(int id);
		$display("new father");
		flow_id = id;
	endfunction: new
	
	virtual function vir_note();
		$display("father vir_note");
	endfunction: vir_note
	
	function novir_note();
		$display("father novir_note");
	endfunction: novir_note
	
endclass: father

class son extends father;
	
	function new();
		super.new(30);
		$display("new son, flow_id='d%0d", flow_id);
	endfunction: new
	
	virtual function vir_note();
		super.vir_note();
		$display("son vir_note");
	endfunction: vir_note
	
	function novir_note();
		super.novir_note();
		$display("son novir_note");
	endfunction: novir_note
	
endclass: son

//env
...

father obj_fa1;
father obj_fa2;
son    obj_sn1;
son    obj_sn2;
		
obj_fa1 = new(15);
obj_sn1 = new();
		
obj_sn1.vir_note();
obj_sn1.novir_note();

...

打印如下:

要点3:virtual的多态属性,主要表现在父类指针指向子类实例时,virtual方法会调用子类对应方法,非virtual方法会调用父类对应方法;

$cast(obj_fa1, obj_sn1);
obj_fa1.vir_note();
obj_fa1.novir_note();

打印如下:

要点4:三代继承问题;

vir_note方法,father类中定义为virtual,son中定义为non-virtual,grandson中定义为virtual;

novir_note方法,father类中定义为non-virtual,son中定义为virtual,grandson中定义为non-virtual;

class father;
	int flow_id;
	
	function new(int id);
		$display("new father");
		flow_id = id;
	endfunction: new
	
	virtual function vir_note();
		$display("father vir_note");
	endfunction: vir_note
	
	function novir_note();
		$display("father novir_note");
	endfunction: novir_note
	
endclass: father

class son extends father;
	
	function new();
		super.new(30);
		$display("new son, flow_id='d%0d", flow_id);
	endfunction: new
	
	function vir_note();
		$display("son vir_note");
	endfunction: vir_note
	
	virtual function novir_note();
		$display("son novir_note");
	endfunction: novir_note
	
endclass: son

class grandson extends son;
	
	function new();
	endfunction: new
	
	virtual function vir_note();
		$display("grandson vir_note");
	endfunction: vir_note
	
	function novir_note();
		$display("grandson novir_note");
	endfunction: novir_note
	
endclass: grandson

实验1:

father obj_fa1;
son    obj_sn1;
grandson obj_gs1;
		
obj_gs1 = new();
						
$cast(obj_fa1, obj_gs1);
obj_fa1.vir_note();
obj_fa1.novir_note();

打印如下:

实验2:

father obj_fa1;
son    obj_sn1;
grandson obj_gs1;
		
obj_gs1 = new();
						
$cast(obj_sn1, obj_gs1);
obj_sn1.vir_note();
obj_sn1.novir_note();

打印如下:

  • 3
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

尼德兰的喵

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值