System Verilog-数据类型

System Verilog数据类型分为两大类,一类是变量(variable),一类是网线(net)。这两种类型在赋值和存储值上有所区别

  • net一般只用于assign连续赋值,部分net类型可以有多个驱动(driver)
  • variable一般只用于过程赋值

System Verilog具有四种值类型:

  • 0 逻辑值0
  • 1 逻辑值1
  • x 不确定的逻辑值
  • z 高阻态

一些数据类型可以存储上述4种值,如logicregintegertime。还有一些数据类型只能存储0和1,如bitint

一般声明wire和reg的地方大部分都可用logic代替,它既可以连续赋值也可以过程赋值,但不能有多驱动

System Verilog还支持stringtimestructclassenumunionrealrealtime等等数据类型

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

mrbone11

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值