Verilog-Behavior Level 和 RTL Level 和 GATE Level的区别

硬件设计中对硬件的描述可以具有不同的抽象级别,以Verilog为例:

  • Behavior Level。描述的是硬件的行为,当我们在看到如下关键字时就是行为级别的代码:#waitwhileforcerelease等,行为级别的代码通常比较直观,但可能不可综合。
  • RTL Level。RTL即Register Transfer Level寄存器传输级别,使用alwaysassign语句块组成的代码,这个级别关注的是数据保存的地方,数据受到什么因素影响,数据的流向等等。RTL级别的代码通常都是可综合的。
  • GATE Level(aka Structural)。使用门级电路(与或非等等)描述硬件,没有always和assign语句。
  • 4
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

mrbone11

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值