system verilog-变量类型

1.四值变量与二值变量

变量类型分为:四值变量和二值变量
四值变量:0、1、x、z

四值变量描述
reg2bit 主要用在assign语句中,起连接作用,类似金属线
wire2bit 主要用在initial和always语句中,对线路建模
time64bit
logic2bit 对reg类型的增强,可以被连续赋值语句驱动,可用在assign、initial、always语句
integer32bit 有符号位

二值变量:0、1

二值变量描述
bit1bit 无符号位
byte8bit 有符号位
shortint16bit 有符号位
int32bit 有符号位
longint64bit 有符号位

四值变量与二值变量的特性:
四值变量的默认初始值为x,二值变量的默认初始值为0;
将四值变量赋值给二值变量,x和z状态会转变为0;

有符号位和无符号位之间可以互相转化:
有符号位 -->无符号位时,会直接向最高位扩展一位符号位

integer unsigned i1;
logic signed [31:0] i1;
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值