vcs编译UVM平台makefile命令

芯片行业奋战十余年老兵,芯片大厂验证专家,多年面试官经验,面试官一对一助你转行芯片验证!更多学习视频关注B站 id:605762016  飞哥_芯

 VCS = vcs
#OPT= -sverilog -debug_all +v2k -fsdb +define+FSDB -ntb_opts uvm-1.1 -full64 -override_timescale1ns/1ps 
OPT= -R -full64 +v2k -fsdb +define+FSDB -sverilog -ntb_opts uvm-1.1 -debug_all -elab -lca -kdb -timescale=1ns/1ps
debussy_lib = -P /opt/Synopsys/Verdi2015/share/PLI/VCS/LINUXAMD64/novas.tab  /opt/Synopsys/Verdi2015/share/PLI/VCS/LINUXAMD64/pli.a
COV = -cm cond+fsm+tgl+branch+line
TS0= fir_sanity_test
TS1= fir_din_rand_test
com:
    vcs -f ./run.f $(OPT) $(debussy_lib) -LDCLASS -rdynamic -l complile.log +UVM_TESTNAME=$(TS1)  $(COV)  -cm_dir $(TS1)

1:定义宏变量VCS = vcs

3:编译软件vcs运行参数配置

4:dump 波形所需要的库

5:覆盖率收集参数

6:testcase0名称

7:testcase1名称

8:com  平台编译命令宏定义

9:vcs编译命令

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值