配置AXI slave VIP,使其能接受两个outstanding transfer

为了使AXI从站VIP能够接受两个未完成的突发传输,需要设置dw_vip_axi_port_configuration::m_nNumOutstandingXact为n,这样从站就可以在不等待前一个突发传输结束的情况下提前响应ARREADY。
摘要由CSDN通过智能技术生成

To enable the outstanding burst of slave AXI VIP, please set dw_vip_axi_port_configuration::m_nNumOutstandingXact = n, then the Slave can response ARREADY earlier without waiting for the end of previous burst.

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值