xilinx器件硬件特性

VCCAUX:

VCCAUX is used to power the JTAG and dedicated configuration pins, thus making them independent of VCCO. (This resolves the issue encountered in Virtex-E, where banks 2 and 3 were required to be powered by 3.3V for JTAG configuration.)


Additionally, VCCAUX powers IOB pre-drivers, differential input amplifiers/comparators, LVDS bias generators, DCM delay lines, and other internal reference supplies.

VCCAUX must ALWAYS be connected and bypassed properly in order for the Virtex-II and Virtex-II Pro device to function correctly.

The VCCAUX value does not need to be the same as the VCCO or VREF value in the same bank.

When VCCAUX is applied, VBATT does not draw any current; thus, the battery can be removed or exchanged.

If VCCAUX and VCCO are both 3.3V on the same bank, they can be powered by the same supply. VCCAUX is required regardless of the I/O standard used. This configuration simplifies PCB power management, provided the power plane is properly decoupled/ bypassed.

In a design where DCM is used, it might be beneficial to separate VCCAUX and VCCO power planes to prevent VCCO droop (that might be caused by SSO) from disturbing VCCAUX. For more information about this guideline, see (Xilinx Answer 13756).

NOTE: For more information about VCCAUX and specific voltage requirements for each family, see the Virtex-II and Virtex-II Pro data sheet and user guide.
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值