Verilog语法中pullup.pulldown使用举例和分析

在模拟IP仿真时,通常会使用到上拉、下拉电阻设置(此时还不是网表或hi spice仿真),其上拉电阻对应信号可赋值为1,下拉电阻赋值为0。因此会使用到pullup或pulldown。如下举例(引自某度问答):

wire abc;
pullup(abc);
assign abc = enable ? 1’b0 : 1’bz;
enable为bai1的时du候 abc信号为0
enable 为0的时候 assign语句的输出为高阻,zhi但是因为pullup了abc,所以abc的值为1
pullup是不可综合的,其实需要在PCB上做一个dao上拉的电阻,然后在库里面选一个open drain的pad,把 enable接到pad的enable输入
如果是FPGA的话,看到这个语句, FPGA的综合器会自动知道把enable 接到pad的enable端 assign abc = enable ? 1’b0 : 1’bz;

但在实际的EDA数字仿真时,对应信号直接tie0/1其仿真效果一样,因此个人觉得pullup/down可能在数仿并无实际意义,应该在模拟电路仿真时才有实际的爬坡或缓降效果。

  • 7
    点赞
  • 28
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值