47,Verilog-2005标准篇:上拉(pullup )和下拉(pulldown)门

上拉或下拉门的实例声明应以下列关键字之一开头:

pullup  pulldown

上拉门在其端口列表中连接的网络上设置逻辑值 1。下拉门在其端口列表中连接的网络上设置逻辑值 0。

在没有强度指定的情况下,端口网络上的信号应具有pull强度。如果pullup门指定有strength1强度 或pulldown门指定有strength0强度,则信号应具有指定的强度。pullup门的strength0强度和pulldown门的strength1强度指定将被忽略,且这两种门都没有延迟指定。

例如下面的示例声明了两个 pullup门实例:

pullup (strong1) p1 (neta), p2 (netb);

在此示例中,p1实例以strong1强度驱动neta,p2实例以strong1强度驱动netb。

点赞加关注博主(ID:FPGA小飞)的博文,咱们一起系统学习verilog最终标准IEEE Std 1364-2005吧!

  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值