如何将fsdb波形转成csv等可读性文本格式

如何将fsdb波形转成csv等可读性文本格式

工具

利用verdi的fsdbreport,verdi命令如下:

~% fsdbreport test.fsdb -bt 1000ns -et 1100ns -s "top/abc[31:0]" -csv -of h -o test.csv

解释一下:
fsdbreport是verdi的一个工具,可以分析fsdb波形。
其中参数bt是波形的开始时间;et是波形的结束时间;s是导出的信号名,可以用通配符匹配;of是输出的数据格式,h为16进制;o为导出的文件名。

更详细的参数说明可以执行: fsdbreport -h

用处

如果有一个csv文件存储了golden的数据,需要分析一下波形里的某个信号是否和golden的pattern一致。可以用这个命令将波形的数据导出,然后再用脚本分析比对。

  • 6
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

poena

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值