verilog参数传递方法及实例

类似与VHDL中得generic()portmap();  verilog中各个模块之间也可以进行了参数得传递,通过修改顶层模块的参数,底层的模块参数被修改。

方法1: defparam定义法

方法2: #方法

例如

 底层模块,设置参数初始值

  1. module parameter_tst(clk,a,b,c);
  2.       parameter parameter1 = 10,
  3.                   parameter2 = 0 ;
  4.       input clk;
  5.       input [2:0]a;
  6.       input [2:0]b;
  7.       
  8.       output reg [4:0]c;
  9. always@(posedge clk)
  10.     c = a + parameter1 +  b +  parameter2; 
  11. endmodule

顶层模块,修改参数

  1. module parameter_top(clk,a,b,c);      
  2.     input clk;
  3.     input [2:0]a;
  4.     input [2:0]b;
  5.     
  6.     output reg  [5:0]c;
  7.     
  8.     wire [4:0]c1;
  9.     wire [4:0]c2;    
  10.  
  • 3
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值