ZedBoard+Vivado(三)——自定义AXI外设IP核实现流水灯

本教程详细介绍了如何使用ZedBoard和Vivado2018.2创建一个自定义AXI外设IP核,实现流水灯功能。步骤包括创建工程、设计AXI外设IP核、在IP Integrator中配置、综合、实现、生成Bitstream以及在SDK中的应用。通过创建自定义IP核,实现了与硬件LED的连接,并通过约束文件完成连线,最终烧录到FPGA并运行程序展示流水灯效果。
摘要由CSDN通过智能技术生成

硬件:Zedboard
软件:Vivado2018.2 + Win10

1 设计

功能:流水灯
语言:Verilog + C
流程:创建工程->创建AXI外设IP核->IP Integrator->综合、实现、生成Bitstream->SDK

功能图如下,图中的GPIO IP使用自定义的AXI外设,而不使用AXI_GPIO
在这里插入图片描述

2 流程

2.1 创建工程

参考ZedBoard+Vivado(一)

2.2 创建AXI外设IP核

点击Tools->Create and Package New IP
在这里插入图片描述
点击Next
在这里插入图片描述
选择Create a new AXI4 peripheral
在这里插入图片描述
默认或者手动输入相关描述
在这里插入图片描述
使用AXI-Lite,Slave模式
在这里插入图片描述
选Add IP to the repository,以便我们后续添加该IP核,点Finish
在这里插入图片描述

2.2 IP Integrator

IP INTEGRATOR->Create Block Design,在add IP中输入myip和ZYNQ7 Processing System,并其加入到Diagram中

评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值