Verilog :Generate

本文介绍了如何在可配置和综合的RTL设计中使用Generate语句,包括genvar声明、循环实例化(如for和if/generate/case-generate结构),以及它们在选择和条件执行代码块中的应用。
摘要由CSDN通过智能技术生成

Generate语句常用于编写可配置的、可综合的RTL的设计结构。它可用于创建模块的多个实例化,或者有条件的实例化代码块。

generate for

在使用时必须先在genvar声明中声明循环中使用的索引变量名,然后才能使用它。genvar声明的索引变量被用作整数用来判断generate循环。genvar声明可以是generate结构的内部或外部区域

genvar i;

generate for (i=0;i<N;i=i+1)

begin:data

al al_inst(

a[i],

b[i]

);

end

条件if-generate构造

generate

if(1)

begin:

u1 mux_case mc(

.a(a),

.b(b),

.sel(sel),

.out(out)

);

end

else

begin:u1 mux_assign ma( 

.a(a),

.b(b),

.sel(sel),

.out(out)

);

end

endgenerate

case-generate

与if-generate类似,case-generate也可用于从几个块中有条件地选择一个代码块。它的用法类似于基本case语句,并且if-generate中的所有规则也适用于case-generate块。

  • 10
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值