FPGA-Modelsim仿真不出来波形可能的原因

今天算是涨了教训,代码写完了,仿真波形就是不出来,捣鼓了一晚上,最后发现是一段代码的问题,如下。

reg [7:0]Data;
always Data='0'+Time;

这里是想实现Data能随Time的变化而变化,实现assing类似的效果,但这样的写法应该是错误的,因为书上并没有这样的写法,并且正因为这个,导致Modelsim仿真波形不能出来,至于为啥,我才学,不知道,只能说这是血与泪换来的教训吧,就因为这个,调了一晚上,不过奇怪的是,这样写,下载到FPGA板里可以实现预想的功能,等以后学数电了再来解释吧

  • 3
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值