浅谈芯片验证中的仿真运行之 timeunit/timeprecision用法《实战篇》续(一)

浅谈芯片验证中的仿真运行之 timeunit/timeprecision用法《实战篇》_那么菜的博客-CSDN博客

在上面的章节讲述中,我们谈到了一个问题点:由于uvm_helloworld.sv 中的定义class  , 处于无人管辖的状态,因为它直接听命于$unit这个终极大boss,如果大boss 很忙,而且class 又比较调皮古怪,经常惹出事情来。好了,大boss 考虑:那我空降一个钦差过去!

此时,我们引入package 。 将class 用 package 封装包裹一下。看看又会是怎么一番效果呢!

源代码修改如下:

tb_top.sv

`timescale 10ps/1ps
module tb_top();
timeunit 1ns ;
timeprecision 1ps ;

import helloworld_test_pkg::*;
import uvm_pkg::*;
`include "uvm_macros.svh"

reg clk,rst;

initial begin clk = 0; forever #5 clk = ~ clk;end
initial begin rst = 1'b0; #10 rst = 1; end

initial begin
run_test("helloworld_test") ;
end

initial begin
$fsdbDumpfile("tb_top.fsdb");
$fsdbDumpvars(
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值