sync_fifo

module sync_fifo_v1 #(
    parameter      DEPTH = 2,
    parameter type T     = logic
)(
    input    clk,
    input    rst_n,

    input    wen,
    input  T data_in,

    input    ren,
    output T data_out,

    output   full,
    output   empty
);

localparam ADDR_SIZE = $clog2(DEPTH);

logic [ADDR_SIZE-1:0] waddr;
logic                 wwrap;
logic [ADDR_SIZE-1:0] raddr;
logic                 rwrap;

T mem [DEPTH-1:0];
always_ff @(posedge clk) begin
    if (wen) begin
        mem [waddr] <= data_in;
    end
end

assign data_out = mem [raddr];

always_ff @(posedge clk, negedge rst_n) begin
    if (!rst_n) begin
        {wwrap, waddr} <= '0;
    end else if (wen) begin
        {wwrap, waddr} <= {wwrap, waddr} + 1'b1;
    end
end

always_ff @(posedge clk, negedge rst_n) begin
    if (!rst_n) begin
        {rwrap, raddr} <= '0;
    end else if (ren) begin
        {rwrap, raddr} <= {rwrap, raddr} + 1'b1;
    end
end

assign full  = (wwrap!=rwrap) & (waddr == raddr);
assign empty = (wwrap==rwrap) & (waddr == raddr);

endmodule
module sync_fifo_v2 #(
    parameter      DEPTH = 2,
    parameter type T     = logic
)(
    input    clk,
    input    rst_n,

    input    wen,
    input  T data_in,

    input    ren,
    output T data_out,

    output   full,
    output   empty
);

localparam ADDR_SIZE = $clog2(DEPTH);

logic [ADDR_SIZE-1:0] waddr;
logic                 wwrap;
logic [ADDR_SIZE-1:0] raddr;
logic                 rwrap;

T mem [DEPTH-1:0];
always_ff @(posedge clk) begin
    if (wen) begin
        mem [waddr] <= data_in;
    end
end

always_ff @(posedge clk) begin
    if (ren) begin
        data_out <= mem [raddr];
    end
end

always_ff @(posedge clk, negedge rst_n) begin
    if (!rst_n) begin
        {wwrap, waddr} <= '0;
    end else if (wen) begin
        {wwrap, waddr} <= {wwrap, waddr} + 1'b1;
    end
end

always_ff @(posedge clk, negedge rst_n) begin
    if (!rst_n) begin
        {rwrap, raddr} <= '0;
    end else if (ren) begin
        {rwrap, raddr} <= {rwrap, raddr} + 1'b1;
    end
end

assign full  = (wwrap!=rwrap) & (waddr == raddr);
assign empty = (wwrap==rwrap) & (waddr == raddr);

endmodule

module tb_top;

bit clk;
bit rst_n;

initial fork
    forever #5 clk = ~clk;
    begin
        @(posedge clk);
        #1 rst_n = 1'b1;
    end
join

typedef logic[7:0] T;

// fifo output
T data_out;
logic full;
logic empty;

// fifo input
logic wen;
T data_in;
logic ren;

initial begin
    wait(rst_n);
    while (1) begin
        @(posedge clk);
        #1;
        wen = (!full | full & ren) & $urandom_range(1, 0);
        ren = !empty & $urandom_range(1, 0);
        data_in = $random;
    end
end

initial begin
    #1000;
    $finish;
end

sync_fifo_v1 #(
    .DEPTH (2),
    .T     (T)
) i_sync_fifo_v1 (
    .*
);

endmodule
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值