sync_fifo

module sync_fifo_v1 #(
    parameter      DEPTH = 2,
    parameter type T     = logic
)(
    input    clk,
    input    rst_n,

    input    wen,
    input  T data_in,

    input    ren,
    output T data_out,

    output   full,
    output   empty
);

localparam ADDR_SIZE = $clog2(DEPTH);

logic [ADDR_SIZE-1:0] waddr;
logic                 wwrap;
logic [ADDR_SIZE-1:0] raddr;
logic                 rwrap;

T mem [DEPTH-1:0];
always_ff @(posedge clk) begin
    if (wen) begin
        mem [waddr] <= data_in;
    end
end

assign data_out = mem [raddr];

always_ff @(posedge clk, negedge rst_n) begin
    if (!rst_n) begin
        {wwrap, waddr} <= '0;
    end else if (wen) begin
        {wwrap, waddr} <= {wwrap, waddr} + 1'b1;
    end
end

always_ff @(posedge clk, negedge rst_n) begin
    if (!rst_n) begin
        {rwrap, raddr} <= '0;
    end else if (ren) begin
        {rwrap, raddr} <= {rwrap, raddr} + 1'b1;
    end
end

assign full  = (wwrap!=rwrap) & (waddr == raddr);
assign empty = (wwrap==rwrap) & (waddr == raddr);

endmodule
module sync_fifo_v2 #(
    parameter      DEPTH = 2,
    parameter type T     = logic
)(
    input    clk,
    input    rst_n,

    input    wen,
    input  T data_in,

    input    ren,
    output T data_out,

    output   full,
    output   empty
);

localparam ADDR_SIZE = $clog2(DEPTH);

logic [ADDR_SIZE-1:0] waddr;
logic                 wwrap;
logic [ADDR_SIZE-1:0] raddr;
logic                 rwrap;

T mem [DEPTH-1:0];
always_ff @(posedge clk) begin
    if (wen) begin
        mem [waddr] <= data_in;
    end
end

always_ff @(posedge clk) begin
    if (ren) begin
        data_out <= mem [raddr];
    end
end

always_ff @(posedge clk, negedge rst_n) begin
    if (!rst_n) begin
        {wwrap, waddr} <= '0;
    end else if (wen) begin
        {wwrap, waddr} <= {wwrap, waddr} + 1'b1;
    end
end

always_ff @(posedge clk, negedge rst_n) begin
    if (!rst_n) begin
        {rwrap, raddr} <= '0;
    end else if (ren) begin
        {rwrap, raddr} <= {rwrap, raddr} + 1'b1;
    end
end

assign full  = (wwrap!=rwrap) & (waddr == raddr);
assign empty = (wwrap==rwrap) & (waddr == raddr);

endmodule

module tb_top;

bit clk;
bit rst_n;

initial fork
    forever #5 clk = ~clk;
    begin
        @(posedge clk);
        #1 rst_n = 1'b1;
    end
join

typedef logic[7:0] T;

// fifo output
T data_out;
logic full;
logic empty;

// fifo input
logic wen;
T data_in;
logic ren;

initial begin
    wait(rst_n);
    while (1) begin
        @(posedge clk);
        #1;
        wen = (!full | full & ren) & $urandom_range(1, 0);
        ren = !empty & $urandom_range(1, 0);
        data_in = $random;
    end
end

initial begin
    #1000;
    $finish;
end

sync_fifo_v1 #(
    .DEPTH (2),
    .T     (T)
) i_sync_fifo_v1 (
    .*
);

endmodule
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
自动控制节水灌溉技术的高低代表着农业现代化的发展状况,灌溉系统自动化水平较低是制约我国高效农业发展的主要原因。本文就此问题研究了单片机控制的滴灌节水灌溉系统,该系统可对不同土壤的湿度进行监控,并按照作物对土壤湿度的要求进行适时、适量灌水,其核心是单片机和PC机构成的控制部分,主要对土壤湿度与灌水量之间的关系、灌溉控制技术及设备系统的硬件、软件编程各个部分进行了深入的研究。 单片机控制部分采用上下位机的形式。下位机硬件部分选用AT89C51单片机为核心,主要由土壤湿度传感器,信号处理电路,显示电路,输出控制电路,故障报警电路等组成,软件选用汇编语言编程。上位机选用586型以上PC机,通过MAX232芯片实现同下位机的电平转换功能,上下位机之间通过串行通信方式进行数据的双向传输,软件选用VB高级编程语言以建立友好的人机界面。系统主要具有以下功能:可在PC机提供的人机对话界面上设置作物要求的土壤湿度相关参数;单片机可将土壤湿度传感器检测到的土壤湿度模拟量转换成数字量,显示于LED显示器上,同时单片机可采用串行通信方式将此湿度值传输到PC机上;PC机通过其内设程序计算出所需的灌水量和灌水时间,且显示于界面上,并将有关的灌水信息反馈给单片机,若需灌水,则单片机系统启动鸣音报警,发出灌水信号,并经放大驱动设备,开启电磁阀进行倒计时定时灌水,若不需灌水,即PC机上显示的灌水量和灌水时间均为0,系统不进行灌水。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值