ArtyA7的Hello Word创建Microblaze嵌入式系统硬件工程的问题解决

在使用Arty A7开发Microblaze嵌入式系统时,遇到[Timing 38-282]和[Timing 38-469]的时序要求未满足的问题。错误源于教程中mig_7series_0的clk_ref_i与clk_wiz_0的clk_out2,以及sys_clk_i与clk_out1的接线错误。正确接线方式是clk_ref_i接clk_out2,sys_clk_i接clk_out1,避免按照教程反接。
摘要由CSDN通过智能技术生成
  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在Vivado 2019.2版本中,SDK(Software Development Kit)的使用方式略有不同。你需要按照以下步骤来使用Vivado 2019.2中的SDK: 1. 首先,你需要打开Vivado软件,并点击"File",然后选择"Launch SDK",这将打开SDK软件设计界面。 2. 在SDK界面中,你可以进行相关的软件开发和编程操作。 请注意,如果你使用的是Vivado 2019.2及之后版本,建议转到Vitis开发环境,它是Xilinx最新推出的综合开发环境,可以更好地支持软件和硬件的协同设计和开发。 希望以上信息能够帮助到你!<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [FPGA开发笔记(一)vivado2019.2_MicroBlaze_ArtyA735t开发流程_SDK_VITIS](https://blog.csdn.net/weixin_40725491/article/details/111605014)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [Vivado2019.1安装包-百度网盘-22G.zip](https://download.csdn.net/download/qq_40957277/22081365)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值