修改代码后如何使用modelsim仿真

1、对修改的部分进行重新编译,只修改了testbench文件则重新编译tb文件,只修改了模块文文件则只编译模块文件,很多文件都修改了,按住Ctrl选择多个文件一起重新编译。

2、然后在波形窗口或者工程窗口,重启仿真(Restart),不需要再次选择tb文件运行仿真

 

 3、提示文件被修改,选择Reload即可

 4、查看波形窗口运行仿真,波形就出来了。

5、如波形信号没有,观察sim窗口,出现run -all未出现红色错误即可。看wave中信号有没有加入到波形显示窗口,如果未出现,则手动添加信号到波形如下图

 

 

 

  • 2
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
好的,下面是一个简单的 Verilog 二选一多路选择器的 ModelSim 仿真代码。在这个例子中,我们使用了 Testbench 来模拟输入信号,并将输出信号连接到输出端口。 Verilog 代码: ```verilog module mux_2to1(input a, b, sel, output y); assign y = sel ? b : a; endmodule module tb_mux_2to1; reg a, b, sel; wire y; mux_2to1 uut(a, b, sel, y); initial begin a = 0; b = 1; sel = 0; #10 sel = 1; #10 a = 1; #10 b = 0; #10 sel = 0; #10 $finish; end endmodule ``` 在这个代码中,我们定义了一个 Testbench 模块 `tb_mux_2to1`,它包含了一个 Verilog 二选一多路选择器的实例 `uut`。在 `initial` 块中,我们设置了输入信号,并在一些时钟周期后更改它们的值。最后,我们使用 `$finish` 命令结束仿真ModelSim 仿真代码: 1. 打开 ModelSim。 2. 创建一个新的工程。 3. 添加上面的 Verilog 代码文件到工程中。 4. 在工程中添加 Testbench 模块文件 `tb_mux_2to1.v`。 5. 编译工程。在 ModelSim 的主窗口中,单击 "Compile" 按钮。 6. 打开波形查看器。在 ModelSim 的主窗口中,单击 "Simulate" -> "Start Simulation"。 7. 添加信号到波形查看器。在波形查看器中,单击 "Add Wave" 按钮。 8. 运行仿真。在波形查看器中,单击 "Run" 按钮。 9. 查看仿真结果。在波形查看器中,可以看到输入和输出信号的波形图。 这就是 Verilog 二选一多路选择器的 ModelSim 仿真代码。希望对您有所帮助!
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值