重温FPGA开发5

1 时序逻辑设计之计数器

  1. 时序逻辑基本概念(相较于之前的三八译码器组合逻辑电路)

  2. 计数器基本概念,基本的4位加法器结构图

  3. 设计一个以1秒钟频率闪烁的LED灯(亮灭各500ms)

  4. 计数值与计数时间的关系

    组合逻辑电路:(二选一多路器,38译码器),只要输入变了,输出就会变,只与输入信号有关。
    时序逻辑电路:与时序信号有关。
    D触发器
    当CK的上升沿(由0变成1)到来的时候,这时候D端口的值,才会送到Q端口的值。
    在这里插入图片描述
    这时候D触发器就会有存储特性,只有在ck上升沿的时候才能改变Q的输出。

计数器:
4位计数器

2 实验代码

2.1 系统设计

// 驱动LED灯 500ms/20ns 因为一个周期是 20ns(50MHz)
// 要计数 25000000, 要计算选择多少位的计数器,需要有25位

module led_flash(
	Clk,
	Reset_n,  // _n 为低电平有效
	Led
);

	 input Clk;
	 input Reset_n;
	 output reg Led; 

	 // 需要有一个计数器
	 reg [24:0] counter;

	// <= 非阻塞赋值的意思
	// 计数器核心逻辑, 在always块中赋值必须是reg型
	always@(posedge Clk or negedeg Reset_n)
	if(!Reset_n) begin
		counter <= 0;
		Led <= 0;
	end
	else if(counter == 25000000 - 1) begin
		Led <= !Led;
		counter <= 0;
	end
	else
		counter <= counter + 1'd1;
	 
	// 推荐分开写
	
	
	 
	

endmodule

2.2 功能仿真

时序电路写testbench

`timescale 1ns/1ns

module led_flash_tb;

	reg Clk;
	reg Reset_n;
	wire Led;


led_flash led_flash(
	.Clk(Clk),
	.Reset_n(Reset_n),  // _n 为低电平有效
	.Led(Led)
);

initial Clk = 1;
always#10 Clk = !clk;  // 按位取反 和 什么取反

initial begin
	Reset_n = 0;
	#201;
	Reset_n = 1;
	#2000000000;
	$stop
end

endmoudle
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值