FPGA第七篇:模块的结构、数据类型、变量和基本运算符

本文详细介绍了Verilog程序的模块结构,包括端口描述、功能定义,对比了Verilog与C语言的不同。重点讲解了四种基本数据类型,如常量、参数、网络和reg型变量,并阐述了位运算规则。此外,还探讨了模块的疑问和课后问题,如模块组件、always块的触发条件、变量类型差异等。
摘要由CSDN通过智能技术生成

第三章《模块的结构、数据类型、变量和基本运算符》

一、概念:


1、Verilog程序包含几个部分?

(1)端口定义 (2)I/O说明 (3)内部信号声明  (4)功能定义

2、模块的端口描述

将端口定义、I/O说明、类型说明在module block(端口1,...,端口n)一句话里面说明清楚。

3、功能描述

(1)assign:持续赋值语句,组合逻辑,阻塞赋值

(2)用实例元件:要求每个实例元件的名字必须唯一

(3)always块:组合或时序。其内部“顺序执行”。

付哥说:不要在always里面写组合逻辑。

4、与C语言相比,有哪些不同?

如果要用Verilog模块实现一定的功能,首先应该清楚哪些是同时发生的,哪些是顺序发生的。

(1)在Verilog模块中所有过程块(如:initial块、always块)、连续赋值语句(assign)、实例引用都是并行的;

(2)它们表示的是一种通过变量名互相连接的关系;

(3)在同一模块中这三者出现的先后顺序没有关系&

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值