数字电路设计之verilog的define和parameter

本文探讨了Verilog中的`parameter`和`define`的使用,指出parameter适用于模块内部参数定义,避免状态机定义混淆;而`define`在编译期间全局有效,适合用于大型电路如CPU的指令定义,确保规范一致性。建议根据设计需求选择合适的方法。
摘要由CSDN通过智能技术生成
1.语法定义

                  parameter xx = yy;

                 `define xx  yy   ( 注: 句尾无分号)

2.作用范围

                  parameter 作用于声明的那个文件。

                  `define 从编译器读到这条指令开始到编译结束都有效,或者遇到`undef命令使之失效。后来我试了一次发现真的是每个文件都要define一次,我觉得和parameter确实差不多。


<
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值