【GAOPS035】verilog打拍同步模板

目录

sync.v

tb.v


避免每次写,提高复用率。

上升下降沿只在单bit生效

sync.v

`timescale 1 ns / 100 ps
module sync #(
parameter WIDTH			=	4,
parameter DEPTH			=	4)
(
    input        				clk,
    input        				rst_n,
	
    input        [WIDTH-1:0]  	in_data,
    output       [WIDTH-1:0]  	out_data,
    output                  	out_n1p,//只在宽度是1生效,其他默认是0
    output                  	out_1p//只在宽度是1生效,其他默认是0
);
	genvar i;
	reg [WIDTH-1:0]  	data_reg [DEPTH-1:0] ;
	//第1个数
	always@(posedge clk or negedge rst_n)begin
		if(rst_n == 1'b0)begin
			data_reg[0] <= #1 {WIDTH{1'b0}};
		end  begin 
			data_reg[0] <= #1 in_data;
		end		
	end
	//第2个数以及以后
	generate for(i=1;i<DEPTH;i=1+i)begin :pipe
		always@(posedge clk or negedge rst_n)begin
			if(rst_n == 1'b0)begin
				data_reg[i] <= #1 {WIDTH{1'b0}};
			end else begin 
				data_reg[i] <= #1 data_reg[i-1];
			end		
		end
		end
	endgenerate	
	
	assign out_data = data_reg[DEPTH-1];
	
	generate 
	if(WIDTH == 1) begin 
		if(DEPTH == 0) begin 
		assign	out_n1p = 1'b0;
		assign	out_1p  = 1'b0;
		end else if(DEPTH == 1) begin 
		assign	out_n1p = (data_reg[0] & ~in_data)?1'b1:1'b0;
		assign	out_1p  = (~data_reg[0] & in_data)?1'b1:1'b0;
		end else begin
		assign	out_n1p = (data_reg[DEPTH-1] & ~data_reg[DEPTH-2])?1'b1:1'b0;
		assign	out_1p  = (~data_reg[DEPTH-1] & data_reg[DEPTH-2])?1'b1:1'b0;			
		end
	end	else begin 
		assign	out_n1p = 1'b0;
		assign	out_1p  = 1'b0;
	end
	endgenerate
	
	
endmodule

tb.v

`timescale 1 ns / 100 ps
module tb();
	reg clk=0,rst_n=0;	
	reg[31:0]rand1;
	always clk = #5 ~clk;
	always @(posedge clk) rand1 <= #1 {$random} % 100;	
	//复位
    initial begin
		rst_n = 1'b0;
		#30;
		rst_n = 1'b1;
    end
		
	sync #(.WIDTH(1),.DEPTH(2) ) u0(
		.clk		(clk  ),
		.rst_n		(rst_n),
		.in_data	(rand1),
		.out_n1p	( ),
		.out_1p	    ( ),
		.out_data	( )
		);
endmodule

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

qq_1615549892

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值