Verilog带参数的`define用法

102 篇文章 12 订阅

宏除了可以进行简单的文本替换,还可以像函数和任务一样传递指定多个参数分别对文本进行对应的替换

示例1:

`define Disp(pa,pb,pc)  \
	initial \
		begin \
		   #1200; \
		   $display("%d  \n",(pa+pb+pc)); \
		   $display(`" data_ ``pa+ data_ ``pb + data_ ``pc =%d`",(pa+pb+pc)); \
		end

module  cdc_single_tb;

  reg          src_clk;
  reg          src_in;
  reg          dest_clk;
  wire         dest_out;

initial 
 begin
  src_clk=0;
  src_in=0;
  dest_clk=0;
  
  #100 src_in=1;
  #50 src_in=0;
  #50 src_in=1;
  
  #10000 src_in=0;
  #100 src_in=1;
  #100 src_in=0;
  
#100000 $stop;
  
 end

`Disp(1,2,3)

always #5 src_clk=~src_clk;
always #3 dest_clk=~dest_clk;

xpm_cdc_single  #(
  // Module parameters
  4,0, 0,1, 0
  )   inst_single (
  // Module ports
  .         src_clk(src_clk )   ,
  .        src_in(src_in),
  .        dest_clk(dest_clk),
  .       dest_out(dest_out)
);

endmodule 

仿真结果如下:

#           6  
#
#  data_ pa+ data_ pb + data_ pc =          6

        示例表示,当宏定义中宏文本内容比较多时,写在一行阅读起来比较麻烦。此时可以使用“\”进行换行。其次,宏名可以带有任意多个“形参”,各个参数之间通过“,”或者若干个空格进行分割(此处空格不会作为被替换的文本进行替换)。这里还需要注意宏替换时存在优先匹配的情况,示例中虽然宏文本中“d3=”为一个连续的字符串,但是替换时首先对匹配的“d3”进行替换,而不是“d3=”.

        既然宏定义时可以指定参数,那么这些参数是不是也可以指定默认值呢?在实际的应用过程中,也可以为宏定义指定的参数指定默认值,但是在使用时需要特别注意,不能随意使用(当然任何语法都不能随意使用)。

示例二:

`define disp_str(s1,s2="Default",s3) \
	initial begin \
		$display("Start",s1,s2,s3,"End!"); \
		end
	
module  cdc_single_tb;

  reg          src_clk;
  reg          src_in;
  reg          dest_clk;
  wire         dest_out;

initial 
 begin
  src_clk=0;
  src_in=0;
  dest_clk=0;
  
  #100 src_in=1;
  #50 src_in=0;
  #50 src_in=1;
  
  #10000 src_in=0;
  #100 src_in=1;
  #100 src_in=0;
  
#100000 $stop;
  
 end

`disp_str("Before",,"After")
`disp_str("Before","Haha","After")
`disp_str(,,"After")
`disp_str("Before",,"After")
`disp_str(,,)
//`disp_str(,,,)
//`disp_str(,)

always #5 src_clk=~src_clk;
always #3 dest_clk=~dest_clk;

xpm_cdc_single  #(
  // Module parameters
  4,0, 0,1, 0
)   inst_single (
  // Module ports
  .         src_clk(src_clk )   ,
  .        src_in(src_in),
  .        dest_clk(dest_clk),
  .       dest_out(dest_out)
);

endmodule 

仿真结果如下:

# StartBeforeDefaultAfterEnd!
# StartBeforeHahaAfterEnd!
# Start DefaultAfterEnd!
# StartBeforeDefaultAfterEnd!
# Start Default End!

从仿真结果可以观测到:

        `disp_str("Before",,"After"),宏的第二个参数并没有给出,此时替换时将采用的是宏定义时指定的默认参数,并且各个字符串之间并没有空格出现;

        `disp_str("Before","Haha","After"),宏的第二个参数显式给出,此时替换时将采用显式给出的参数进行替换而不是宏定义时指定的默认参数;

        `disp_str(,,"After"),宏的第一个参数和第二个参数都没有显式给出,但是通过都好保留了参数的位置,其中第一个参数没有指定默认参数,所以进行替换后仅用一个空格空出了该参数的位置,第二个参数因为有指定的默认值,所以在实参没有指定时,宏定义将使用指定的默认参数进行替换,如果第二个参数没有指定默认值,那么此时第二个参数的位置也会有一个空格空出该参数的位置;

        `disp_str(" Before",,"After"  ),双引号中第一个参数的首字母前增加一个空格,那么这个空格将会成为第一个参数的一部分替换到宏文本中.最后一个参数双引号外增加了多个空格,因为空格出现在字符串以外,所以不属于替换字符串的一部分,不会替换到宏文本中.

        `disp_str(,,),三个参数均没有进行设置,因为第二个参数存在默认值,所以第二个参数将会使用默认值替换宏文本,宏文本被对应参数替换后,第一个和第三个参数所在位置将会有一个空格空出该参数的位置;

        `disp_str(,,,),虽然没有指定参数,但是实际留下参数的位置个数与宏定义中指定参数个数不同,多于宏定义指定的三个参数,编译错误;

      `disp_str(,)与`disp_str(,,,) 错误类似,这里只是参数个数少于指定的参数个数(这里因为三个参数仅指定了一个默认参数,如果三个参数都有默认值编译不会错误,后文将示例).所以,参数可以不指定,但是空余的位置还是需要与定义时匹配; 

宏除了像函数和任务那样存在参数列表外,还可以像函数和任务那样进行相互调用。 

`define FI first
`define TW "`FI two"
`define S1(x) "first two x"
`define S2(x) `"first two x`"
`define  ADD(a,b) a+b

module tb;

integer sum;
initial
begin
	$display("`FI");
	$display(`TW);
	$display(`S1(third));
	$display(`S2(third));
	$display(`S2(`S2(forth)));  //illegal
	sum=`ADD(`ADD(1,2),`ADD(3,4));
	$display("the sum id %h ",sum);

end
endmodule

仿真结果如下:

第10行字符串中的宏定义不会被替换掉;

第11行虽然宏文本中有宏`FI调用,但是处于字符串中的宏调用不会被调用;

第12行字符串中的内容不会被宏名后的参数替换;

第13行“`”对原字符串进行了处理,所以宏名后的参数可以替换文本中的内容;

第14行字符串中的宏调用不能进行,其调用实现过程如下:

综上所述,可以得到以下几点关于宏的使用的通用规律:

Ø  如果对应的宏参数指定了默认值,那么该参数在宏调用时可以不指定实参.如果所有的参数都指定了默认参数,那么在宏调用时可以仅保留括号不传递任何参数;

Ø  如果存在部分宏参数没有指定默认值,那么在调用宏时不能省略所有的实参,但是可以使用“,”空留出各个参数的位置;

Ø  如果宏参数中最后一个参数指定了默认值,那么如果期望使用该参数默认值时,在调用宏时可以不保留该参数的位置,即不使用逗号专门空留对应位置;

Ø  宏定义的宏名不能与编译命令名字相同,例如define的宏名不能是define等;

Ø  宏名可以作为一般的信号名,与宏名不冲突,例如定义的宏名为“VAR_V”,那么可以在使用该宏的代码中定义“reg VAR_V”变量,该变量与宏“VAR_V”不冲突,其实主要是使用宏时,在宏名前指定了“`”,将宏名与其他信号变量进行了区分;

Ø  可以重复定义宏,但是仅有最后一次定义的宏有效,也就是说前几次其实都被最后一次定义覆盖了;

Ø  如果宏调用出现在字符串文本中,那么该宏调用将不能进行; 
 

在进行一些文本打印时,有时文本中部分内容需要包含双引号字符,但是文本本身就是以双引号作为限定的,即字符串会将第一个"到下一个"之间的内容作为字符串文本,如果在文本字符串中本身包含",那么会认为当前字符文本结束,问题就来了,这种情况如何实现文本中的双引号的输出呢?

【示例】

【仿真结果】

示例中可以看到,通过在需要插入引号的地方使用转义符“\”,既可以实现字符串中引号的嵌入.那么如果试图将宏文本中插入的双引号中的内容进行宏参数替换,可以按照如下示例方法进行.

【示例】

【仿真结果】

        其中首尾的`"确保了双引号中的内容也可以被宏参数进行文本替换,`\`"确保了其中的双引号可以被有效输出,同时该双引号中的内容也可以被宏参数替换. 


 

  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: Verilog中的define是一种预处理指令,用于定义常量或宏。它可以在代码中定义一个标识符,并将其替换为一个值或表达式。这样可以方便地在代码中使用这个标识符,而不需要重复输入相同的值或表达式。define指令通常用于定义常量、端口宽度、时钟周期等常用的参数。例如,可以使用define定义一个常量: `define WIDTH 8 这样在代码中就可以使用WIDTH来代替8,例如: reg [WIDTH-1:] data; 在代码中使用define定义的标识符时,编译器会将其替换为定义时的值或表达式。因此,使用define可以提高代码的可读性和可维护性。 ### 回答2: Verilogdefine指令是一种定义符号常量的方法,用于在代码中指定一个常数、变量或其他已定义的实体,方便代码的编写和维护。define可以将一个大而复杂的常量替换为一个简单的符号,让代码更加简洁、清晰易读。 define定义的常量允许在整个程序中使用,可以简化代码中的重复定义,适用于经常使用的数值、函数名、参数等常量。一旦定义变量使用符号常量,后续可以修改实体的定义从而改变代码中的符号值,这可以通过预处理器指令进行修改。 define指令的语法格式为: `‘define 符号 值` 其中,“符号”代表预定义的实体名称,可以是字符串、数字或其他变量名,而“值”则表示要赋给该符号的常量或变量。符号名称没有特定的限制,只要不与Verilog语言中的保留字或其他规定的名称重复即可。 以下是define指令的一些应用场景: 1. 定义常数:例如SYSTEM_CLOCK_FREQ,可以代表系统时钟频率,方便在代码中统一修改。 2. 定义函数名:例如CRC_CHECK,可以代表CRC校验函数,方便调用多次。 3. 定义参数:例如DATA_WIDTH,可以代表数据宽度,方便在修改数据位数时使用。 需要注意的是,定义的符号常量是编译器在预处理阶段进行替换,编译后生成的代码中已经不存在符号常量,因此define不能用于声明或定义变量。 综上所述,define指令是Verilog中一种常用的符号定义方法,通过这种方式可以使代码更加简洁易读,统一改变定义的符号值而不需要改变整个程序,提高了代码的编写效率和可读性。 ### 回答3: Verilog是一种硬件描述性别的语言,是开发数字电路的一种常用语言。在Verilog中,define被用来定义一些常量,这些常量可以用来减少代码中的重复字。它可以定义数字,字符串,或者表达式,常常被用来定义寄存器地址,时钟周期,或者其他的一些特殊的数值。 使用define有以下几个步骤: 1. 开始定义。定义常量的语法非常简单,只需要使用 `define 关键字,定义一个标识符和一个值即可。例如,定义一个高电平信号: `define HIGH 1 2. 使用定义。一旦常量被定义,就可以在代码的其他地方使用它。在使用定义时,使用 # 代替它本来的值: always @(posedge clk) begin     if (in == `HIGH)         out <= 1;     else         out <= 0; end 在以上的例子中,当in的值等于`HIGH(1)时,out被设为1,否则为0。 3. 改变定义。如果需要更改定义的值,只需要重新定义即可。例如,如果需要改变`HIGH的值为2: `define HIGH 2 所有使用了`HIGH的地方,都会自动变更为2。 4. 局部定义。如果想要定义一个只在某个子模块中可用的常量,可以使用`define,这个常量只在定义它的模块中可见。例如: module mymodule (input clk);     `define CYCLE 4 endmodule 在以上的例子中,CYCLE常量只在mymodule模块中可见。 总之,define非常实用,可以帮助我们减少代码量,增加代码的可读性和可维护性。但是需要注意,使用define时应该保证标识符的唯一性,并且不要定义过多的常量。太多的常量会使得代码变得难以维护。加入代码时也应该保证可读性,定义的变量名需要尽量的准确明了,同时最好在文件开头增加一个定义常量的统一位置,方便调整修改。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值