【SystemVerilog】define的一种用法

今天有用到define的一种用法,在这share一下,直接上例子~~

 

module top ;

  `define A_SRAM_RW(dst_cc_num,src_cc_num)\
     if(strm_sel[``dst_cc_num``] == 1'b1)begin\
       force top.my_dut.strm_in``dst_cc_num``_en = top.my_dut.strm_in``src_cc_num``_en;\
     end

  initial begin
    `A_SRAM_RW(1,0)
    `A_SRAM_RW(2,0)
  end

endmodule

result:

module top;
  initial begin
     if(strm_sel[1] == 1'b1)begin
       force top.my_dut.strm_in1_en = top.my_dut.strm_in0_en;
     end
     if(strm_sel[2] == 1'b1)begin
       force top.my_dut.strm_in2_en = top.my_dut.strm_in0_en;
     end
  end
endmodule

上栗中,dst_cc_num和src_cc_num是要传进来的参数,引用传进来的参数时要在参数前和后加``,不接收传进来的变量,比如

generate 
  for(genvar jj=1;jj<`CC_NUM;jj++)begin
    `A_SRAM_RW(jj,0)
  end
endgenerate

上面这种写法是不行的,相当于只是把jj传进了define中,并不会把jj所代表的值传进去。

From reading these it might be apparent that define macro can be used for adding a postfix to the variable, but not prefix. I was thinking the same after reading the description (they don't have a single example of adding prefix to the variable via `define. Confused ?? Let me explain by giving a concrete example

view sourceprint?
// Example macro for a coverage class
// Aim : want to get ABC_cp : coverpoint ABC {bins b = {1}; }
// by calling `COV(ABC)
`define COV(__name) __name``_cp : coverpoint __name {bins b = {1}; }

// Next
//     What to do if I want cp_ABC in place of ABC_cp as for the above example
//     NOTE : I can't use cp_``__name as cp_ is not an input to the macro
// Solution

//     Use nested macros

`define PREFIX(__prefix, __name) __prefix``__name

`define COV2(__name) `PREFIX(cp_,__name) : coverpoint __name {bins b = {1}; }

`" 和 `\ 是对"和\进行转义

`define data_to_reg(ARG1) \
   $display("Reg name: reg_%0s,value: %0h",`"ARG1`",reg_``ARG1);


`data_to_reg(a)

等效于
$display("Reg name: reg_a,value: %0h","a",reg_a);
`define data_to_reg(ARG1) \
   $display(`"Reg name: `\`"ARG1`\`",value: %0h`",ARG1);


`data_to_reg(reg_a)

等效于
$display("Reg name: \"reg_a\",value: %0h",reg_a);

 

  • 10
    点赞
  • 39
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值