SystemVerilog Assertions应用指南 Chpater1.18使用选择运算符的SVA检验器

 1.18使用选择运算符的SVA检验器

        SVA允许在序列和属性中使用逻辑运算符。属性p17检查如果信号“c”为高,那么信号“d”的值与信号“a"”的相等。如果信号“c”不为高,那么信号“d”的值与信号“b”的相等。这是个组合的检验,在每个时钟上升沿被执行。

property p17;
	@(posedge clk) c ? d==a :d==b;
endproperty

a17 :assert property(p17);

        图1-20显示了属性p17在模拟中的响应。表1-11总结了断言的状态和涉及的信号的采样值。在时钟周期1,信号“c”被检测为高,因此检验期望信号“d”和信号“a”有相等的值。但是信号“d”被检测为高,而信号“a”为低,所以检验失败。


 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值