自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(335)
  • 收藏
  • 关注

原创 对systemverilog/verilog中forever语法的理解

对systemverilog/verilog中forever语法的理解

2024-05-20 08:50:22 126

原创 SDRAM控制器读写

SDRAM控制器读写

2024-05-18 20:57:33 309

原创 IEEE SystemVerilog Chapter21.6:Command line input

IEEE SystemVerilog Chapter21.6:Command line input

2024-04-10 18:58:06 272

原创 windows下使用modelsim搭建uvm验证环境时遇到问题:can‘t locate a C/C++ compiler for ‘DPI Export Compilation ‘。

windows下使用modelsim搭建uvm验证环境时遇到问题:can't locate a C/C++ compiler for 'DPI Export Compilation '。

2024-04-10 15:25:13 442 2

原创 systemverilog/verilog文件操作

systemverilog/verilog文件操作

2024-01-20 16:39:42 1936

原创 SystemVerilog验证测试平台:2.2章节:定宽数组

SystemVerilog验证测试平台

2024-01-18 22:07:14 938

原创 IEEE SystemVerilog Chapter15:Interprocess synchronization and communication

IEEE SystemVerilog Chapter15:Interprocess synchronization and communication

2024-01-18 11:40:36 937

原创 Vivado 统计代码覆盖率

Vivado 统计代码覆盖率

2024-01-17 19:07:03 1066

原创 Linux cat 命令

Linux cat 命令

2023-12-30 19:31:47 543 1

原创 在 Linux 中使用 cat 命令

在 Linux 中使用 cat 命令

2023-12-30 19:26:18 1042

原创 Modelsim查看ATV Window(ModelSim® SE GUI Reference Manual, v10.6d Chapter4.2 ATV Window)

Modelsim查看ATV Window(ModelSim® SE GUI Reference Manual, v10.6d Chapter4.2 ATV Window)

2023-12-25 13:55:25 353

原创 XPM_CDC_PULSE

XPM_CDC_PULSE

2023-12-23 11:11:10 735

原创 XPM_CDC_SINGLE(UG974)

此宏将一个一位信号从源时钟域同步到目标时钟域。为了正确操作,输入数据必须由目标时钟采样两次或两次以上。您可以定义同步器中使用的寄存器级数。可选的输入寄存器可以用于在源时钟域中的输入被同步之前将其寄存。还可以启用仿真特性来生成消息,以报告宏的任何潜在滥用。

2023-12-23 10:46:30 1259

原创 在modelsim中查看断言

在modelsim中查看断言

2023-12-21 21:08:35 486

原创 SoC中跨时钟域的信号同步设计(单比特同步设计)

SoC中跨时钟域的信号同步设计(单比特同步设计)

2023-12-16 10:01:07 911

原创 Vivado[VRFC 10-3032] ‘xbip_pipe_v3_0_5.xbip_pipe_v3_0_5_viv_comp‘ failed to restore

Vivado[VRFC 10-3032] 'xbip_pipe_v3_0_5.xbip_pipe_v3_0_5_viv_comp' failed to restore

2023-12-14 14:25:41 661

原创 UVM实战:一个component内有多个IMP

UVM实战:一个component内有多个IMP

2023-12-13 19:16:03 419

原创 UVM实战:UVM中的通信方式:UVM中的analysis端口

UVM实战:UVM中的通信方式:UVM中的analysis端口

2023-12-13 17:08:05 609

原创 在systemverilog的函数中读写文件:IEEE SystemVerilog Chapter8.9 Static class properties

在systemverilog的函数中读写文件:IEEE SystemVerilog Chapter8.9 Static class properties

2023-12-13 16:58:04 452

原创 UVM:field automation机制

UVM:field automation机制

2023-12-07 15:33:05 1286

原创 UVM:UVM的树形结构

UVM:UVM的树形结构

2023-12-07 14:54:17 938

原创 UVM:uvm_component与uvm_object

UVM:uvm_component与uvm_object

2023-12-07 10:59:02 1093

原创 在Vivado 仿真器中搭建UVM验证环境(不需要联合modelsim)

在Vivado 仿真器中搭建UVM验证环境(不需要联合modelsim)

2023-12-06 21:00:59 1149

原创 UVM建造测试用例

UVM建造测试用例

2023-12-06 16:21:47 1032

原创 UVM验证平台中加入sequencer

UVM验证平台中加入sequencer

2023-12-06 16:03:48 943

原创 UVM验证平台加入field_automation机制

UVM验证平台加入field_automation机制

2023-12-06 15:08:25 536

原创 UVM实现component之间transaction级别的通信

UVM实现component之间transaction级别的通信

2023-12-05 18:44:56 855

原创 UVM中封装成agent

UVM中封装成agent

2023-12-05 18:17:46 841

原创 Verilog中generate的用法

Verilog中generate的用法

2023-12-05 14:51:59 1809

原创 UVM验证环境中加入monitor

UVM验证环境中加入monitor

2023-12-04 20:52:50 431

原创 UVM验证环境 加入env

UVM验证环境 加入env

2023-12-04 20:31:36 847

原创 在UVM验证平台加入transaction

在UVM验证平台加入transaction

2023-12-04 18:44:20 443

原创 UVM中的config_db机制传递interface

UVM中的config_db机制传递interface

2023-12-04 18:24:49 1272

原创 UVM中的objection机制

UVM中的objection机制

2023-12-04 17:54:54 438

原创 uvm的factory机制

uvm的factory机制

2023-12-04 17:17:05 374

原创 Vivado & Modelsim联合进行UVM仿真指南

Vivado & Modelsim联合进行UVM仿真指南

2023-11-23 21:20:54 682

原创 vivado联合modelsim测试覆盖率

vivado联合modelsim测试覆盖率

2023-11-23 16:03:28 1634 5

原创 Win11+Modelsim SE-64 10.6d搭建UVM环境

Win11+Modelsim SE-64 10.6d搭建UVM环境

2023-11-19 10:45:48 932 1

原创 Systemverilog中Clocking blocks

Systemverilog中Clocking blocks

2023-11-18 19:35:32 200

原创 IEEE Standard for SystemVerilog Chapter 22. Compiler directives

定义文本宏后,可以在源描述中使用(`)字符,后跟宏名称。如果一个形式参数有一个非空的默认值,并且希望用一个空的实际参数替换该形式参数,则不能简单地省略实际参数,因为这样就会使用默认值。默认文本可以显式指定为空,方法是在正式参数名称后添加=标记,后跟逗号(如果它是参数列表中的最后一个参数,则可以使用右括号)。要使用用参数定义的宏,文本宏的名称后面应加上一个用逗号分隔的括号中的实际参数列表。例如,在整个描述中重复使用常量的情况下,如果需要更改常量的值,则只需要更改源描述中的一个位置,因此文本宏将非常有用。

2023-11-18 15:05:41 177

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除