XPM_CDC_PULSE

95 篇文章 10 订阅
8 篇文章 0 订阅

MACRO_GROUP: XPM
MACRO_SUBGROUP: XPM_CDC


1、Introduction

        此宏将源时钟域中的脉冲同步到目标时钟域。源时钟域中任何大小的脉冲,如果正确启动,将生成单个目标时钟周期大小的脉冲。
        为了正确操作,输入数据必须由目标时钟采样两次或两次以上。 可以定义同步器中使用的寄存器级数。可选的源和目的复位信号可用于复位脉冲传输逻辑。还可以启用仿真功能来生成报告宏的任何潜在误用的消息。
        这个宏的实现需要一些反馈逻辑。当在没有可选复位信号的情况下仿真宏时,必须始终定义输入脉冲信号(src_pulse),因为没有复位逻辑可以从宏中传播的未定义或“x”中恢复。该宏还要求后续脉冲输入之间的最小间隙如下:

2*(larger(src_clk period, dest_clk period))

        最小间隙是在src_ pulse的下降沿与下一个src_pluse的上升沿。这个最小间隙将保证src_pulse的每个上升沿将在目的时钟域中产生一个dest_clk周期大小的脉冲。
        当使用可选的复位信号时,src_rst和dest_rst_in必须同时断言至少以下持续时间,以完全复位宏中的所有逻辑:

((DEST_SYNC_FF+2)*dest_clk_period) + (2*src_clk_period)

        当复位被断言时,输入脉冲信号不应翻转,输出脉冲信号无效,应被忽略。下面的波形演示了如何复位宏并传输back-to-back 脉冲,同时保持每个脉冲之间的最小间隙。

2、Port Descriptions



 

3、Design Entry Method

4、Available Attributes

  • 11
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值