补码的意义及Verilog中的补码定点小数计算

目录

1.原码,反码,补码

2.补码的意义

3.Verilog中的补码运算与定点小数

3.1补码定点小数的加减运算

3.2补码定点小数的乘除运算

3.3对运算的结果近似截取一定位宽

3.4实际应用中的例子


1.原码,反码,补码

原码:n位的二进制数,最高位为符号位,正数为0,负数为1。剩下的n-1位表示该数的绝对值

反码:原码的符号位不变,正数不变,负数按位取反

补码:反码正数不变,负数加1

我认为在Verilog中,这样更方便:

正数的原码、反码和补码是一个;

负数补码的获得方法:负数的绝对值对应的二进制数取反加一(就不需要用原码反码了)

如果得到一个补码,如何知道其表示的负数的绝对值呢?补码所有位减一取反 等价于 取反加一。也就是说都是取反加一[1]。

2.补码的意义

学过微机原理的应该知道,计算机只会进行简单二进制的加减操作,补码的意义在于:不管这n位二进制是正负数还是有小数,计算机只看成无符号二进制直接运算,而且结果是对的。[2]

并且,在处理不同位宽的数据时,是将短的数据通过符号位拓展,这都不会影响结算结果的正确性。

符号位扩展:例如均为补码形式的8位有符号数1101 0011与16位有符号数运算,首先要将8位符号扩展,即符号位填充增加的位上,此数符号位为1,扩展后:1111 1111 1101 0011

也就是说:补码统一了计算机进行正负数运算,使得符号位也参与运算,

  • 6
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值