静态时序分析(STA)相关概念

launch edge:是源寄存器发送数据的时钟沿,是时序分析的起点。

latch edge:是目的寄存器捕获数据的时钟沿,是时序分析的终点。

Data Arrival Time:从launch edge开始,data实际到达Reg2 D端的时间。

       Data Arrival Time = Tclk1 + Tco + Tdata + launch edge

       Tclk1:时钟生成器生成的时钟信号到达reg1时钟输入端的时间延迟。

       Tco:reg1时钟输入端从接收到时钟信号,到生成有效输出的时间延迟。

       Tdata:reg1的输出传输到reg2的输入端的时间延迟。

Clock Arrival Time : 从latch edge开始,时钟实际到达Reg2时钟输入端的时间。

       Clock Arrival Time = latch edge + Tclk2

       Tclk2:时钟生成器生成的时钟信号到达reg2时钟输入端的时间延迟(clock skew)。

Data Required Time(setup):为能让数据打入寄存器,在此时间点之前数据必须传到目标寄存器的数据输入端。

       Data Required Time(setup)= latch edge + Tclk2 – Tsu。

       Tsu:reg2的数据输入端的建立时间。

Data Required Time(hold):为能让数据打入寄存器,数据至少要保持到的时间点。

       Data Required Time(hold)= latch edge + Tclk2 +Th 。

setup slack(建立时间余量)= min DRT(setup) – max DAT。

       1)要符合要求,setup slack需要大于0。

       2)令setup slack = 0,可求最小时钟周期,进而得到最大时钟频率。

例:假设图中T =20ns 未知

        setup slack = min DRT(setup) – max DAT = (Tmin + 2 + 5 + 2 - 4) – (2 + 11 + 2 + 9 + 2) = Tmin – 21

        令setup slack = 0,则Tmin = 21ns,fmax = 1/Tmin = 47.6MHZ。

hold slack(保持时间余量)与setup slack类似

参考文章链接:


时序分析之Arrival Time

launch edge 和 latch edge 延迟以及静态时序分析相关概念

STA(静态时序分析) 详解:如何计算最大时钟频率,以及判断电路是否出现时钟违例(timing violation)?

【 FPGA 】时序分析中的基本概念和术语静态时序分析(STA)—— 基本概念

  • 2
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
STAStatic Timing Analysis)静态时序分析是设计验证中非常重要的一部分,它能够保证设计的时序满足要求,并且对于设计中存在的时序问题进行诊断和修复。PT(PrimeTime)是业界较为常用的 STA 工具之一。下面是一个 PT 做 STA 静态时序分析的教程。 1. 确定时序约束 时序约束文件是进行静态时序分析的基础,它描述了设计中的时序要求。时序约束应该包括时钟频率、时钟时序、输入输出延迟等信息。在 PT 中,时序约束文件格式为 SDC(Synopsys Design Constraints)。 2. 进行时钟分析 时钟分析静态时序分析的第一步,它能够检查时钟网络中存在的时序问题。在 PT 中,我们可以使用 clock report 命令生成时钟分析报告。时钟分析报告能够帮助我们确定时钟路径、时钟树等信息。 3. 进行时序分析 在进行时序分析之前,我们需要将设计进行综合,并产生时序数据库(.db 文件)。时序分析主要包括前端分析和后端分析,前端分析主要是对时序路径进行分析,后端分析主要是对时序路径进行优化。 在 PT 中,我们可以使用 timing report 命令生成时序分析报告,报告中包括了时序路径、时序偏差等信息。我们可以根据报告中的信息进行时序优化,例如添加时钟缓冲、调整时钟路径等操作。 4. 进行时序约束修复 在进行时序分析时,PT 会给出一些违反时序约束的警告和错误信息。我们需要根据这些信息进行时序约束修复,以保证设计满足时序要求。在 PT 中,我们可以使用 constraint report 命令生成时序约束修复报告,报告中包括了需要修复的时序约束信息。 5. 进行时序分析验证 在进行时序分析之后,我们需要进行时序分析验证,以保证时序分析结果的准确性。在 PT 中,我们可以使用 report checks 命令生成时序分析验证报告,报告中包括了时序分析结果的正确性信息。 以上就是 PT 做 STA 静态时序分析的教程,希望能够对你有所帮助。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值