封装verilog代码成AXI_IP核,实现PYNQ调用

参考:
https://blog.csdn.net/shushm/article/details/49536845 verilog封装IP核(sum)
https://www.cnblogs.com/chengqi521/p/7987714.html verilog封装IP核(LED)(1)
https://www.cnblogs.com/chengqi521/p/7988031.html verilog封装IP核(LED)(2) 约束文件添加
https://blog.csdn.net/limoon1212/article/details/45567299?utm_medium=distribute.pc_relevant.none-task-blog-BlogCommendFromMachineLearnPai2-1.channel_param&depth_1-utm_source=distribute.pc_relevant.none-task-blog-BlogCommendFromMachineLearnPai2-1.channel_param Vivado中AXI IP核的创建和读写逻辑分析
http://blog.eetop.cn/blog-1149070-51989.html verilog封装IP核(PWM)
https://justchen.com/2018/11/21/vivado-%E5%88%9B%E5%BB%BA%E8%87%AA%E5%B7%B2%E7%9A%84ip%E6%A0%B8%E5%B0%81%E8%A3%85%E8%87%AA%E5%B7%B2%E7%9A%84verilog%E6%A8%A1%E5%9D%97.html verilog封装IP核(开关LED)
https://www.cnblogs.com/chengqi521/p/8241795.html verilog封装IP核(上面是AXI封装,这里不是)

  • 2
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
module axi_master ( input wire clk, // 时钟信号 input wire rst, // 复位信号 output wire [31:0] address, // 写入/读取的地址 output wire [31:0] write_data, // 写入数据 input wire write_valid, // 写入数据有效信号 output wire write_ready, // 写入数据准备好信号 input wire read_valid, // 读取数据有效信号 output wire read_ready, // 读取数据准备好信号 input wire [31:0] read_data // 读取到的数据 ); // AXI总线接口 wire [31:0] axi_awaddr; // 写入/读取地址信号 wire axi_awvalid; // 写入/读取地址有效信号 wire axi_awready; // 写入/读取地址准备好信号 wire [31:0] axi_wdata; // 写入数据信号 wire axi_wvalid; // 写入数据有效信号 wire axi_wready; // 写入数据准备好信号 wire [1:0] axi_wstrb; // 写入数据使能信号 wire axi_bvalid; // 写入响应有效信号 wire axi_bready; // 写入响应准备好信号 wire [31:0] axi_araddr; // 读取地址信号 wire axi_arvalid; // 读取地址有效信号 wire axi_arready; // 读取地址准备好信号 wire axi_rvalid; // 读取数据有效信号 wire axi_rready; // 读取数据准备好信号 wire [31:0] axi_rdata; // 读取到的数据信号 // AXI总线从机接口 axi_lite_slave #( .C_S_AXI_ADDR_WIDTH(32), .C_S_AXI_DATA_WIDTH(32) ) inst_lite_slave ( .ACLK(clk), .ARESETn(rst), .S_AXI_AWADDR(axi_awaddr), .S_AXI_AWVALID(axi_awvalid), .S_AXI_AWREADY(axi_awready), .S_AXI_WDATA(axi_wdata), .S_AXI_WVALID(axi_wvalid), .S_AXI_WREADY(axi_wready), .S_AXI_WSTRB(axi_wstrb), .S_AXI_BVALID(axi_bvalid), .S_AXI_BREADY(axi_bready), .S_AXI_ARADDR(axi_araddr), .S_AXI_ARVALID(axi_arvalid), .S_AXI_ARREADY(axi_arready), .S_AXI_RDATA(axi_rdata), .S_AXI_RVALID(axi_rvalid), .S_AXI_RREADY(axi_rready) ); // 写入地址和数据 assign address = axi_awaddr; assign write_data = axi_wdata; // 写入信号 assign axi_awvalid = write_valid; assign write_ready = axi_awready; assign axi_wvalid = write_valid; assign axi_wready = axi_awready; assign axi_wstrb = 4'hF; // 读取信号 assign axi_arvalid = read_valid; assign read_ready = axi_arready; assign axi_rvalid = read_valid; assign axi_rready = axi_arready; assign read_data = axi_rdata; endmodule

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值