用vivado创建一个赛灵思AXI4的IP核和IP核的调用

本文详细介绍了如何在赛灵思平台创建、配置IP核,包括设置接口、编写Verilog代码、打包和导入IP,以及在griddesign中的应用过程。
摘要由CSDN通过智能技术生成

一、新建一个管理IP的任务

二、设置板子,verilog语言和文件位置

三、创建新的IP核

添加一个axi-full的master接口和axi-full的slave接口

四、查看赛灵思AXI代码

第一个是axi的master接口代码,下面的是axi的slave接口代码

五、打包IP核以供后续使用

六、在另一个文件中导入IP核

七、打开网格设计(block design),显示diagram窗口

八、在block design中添加自定义了的IP核

  • 7
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值