verilog基础之--语句系列

在verilog HDL赋值语句中,有两种赋值语句:

阻塞赋值 (b=a)
1>赋值语句执行完后,块才结束。
2>b的值在赋值语句执行完后立刻就改变的。
3>在时序逻辑块中使用时, 可能产生意想不到的结果。

非阻塞赋值(b<=a)
1> 在语句块中,上面语句所赋值的变量值不能立即就为下面的语句所用。
2>块结束后才能完成这次赋值操作,而所赋的变量值是上一次赋值所得到的。
3>在编写可编程的时序逻辑模块时。这是最常用的赋值方法。

在这里插入图片描述

always@(posedge clk)begin
   b<=a;
   c<=b;
end

在这里插入图片描述

always@(posedge clk)
begin
  b=a;
  c=b;
end

在这里插入图片描述

块语句:

块语句有两种:
一种是begin_end语句,通常用来标识顺序执行的语句,用它来标识的块称为顺序块;
另一种是fork_join语句,通常用来标识并行执行的语句,用它来标识的块称为并行块;

1.顺序块
特点:
1>块内的语句是按照顺序执行的,即只有上面一条语句执行完后下面的语句才能执行。
2>每条语句的延迟时间是相对前一条的仿真时间而言的。
3>直到最后一条语句执行完,程序流程控制才跳出该语句块。
格式:

begin
  语句 1;
  语句 2;
     。
     。
     。
  语句 n;
end

或
begin : 块名
块内声明语句
  语句 1;
  语句 2;
     。
     。
     。
  语句 n;
end

2.并行块
特点:
1>块内语句是同时执行的,即程序流程控制一进入到该并行块,块内语句则开始同时并行的执行。
2>块内每条语句的延迟时间是相对程序流程控制进入到块内的仿真时间。
3>延迟时间是用来给赋值语句提供执行时序的。
4>当按时间时序排序在最后的语句执行完后或一个disable语句执行时,程序流程控制跳出该程序块。
格式:

fork
  语句 1;
  语句 2;
    。
    。
    。
 语句 n;
join
或
 fork : 块名
  块内声明语句
      语句 1;
      语句 2;
        。
        。
        。
     语句 n;
    join

3.块名
在verilog中,可以给每个块取一个名字,只需将名字加在关键词begin或fork后面即可。
1>可以在块内定义局部变量,即只在块内使用的变量。
2>可以允许块被其他语句调用时,如disable语句。
3>在verilog中,所有变量都是静态的,即所有的变量都只有一个唯一的存储地址,因此进入或跳出块并不影响存储在变量内的值。
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值