设计实例13-跨时钟域

关于CDC问题小结

在这里插入图片描述

慢时钟到快时钟

单bit脉冲信号传递,在快时钟域打两拍后采样即可。
注意:打两拍适用于采样寄存器信号,如果是组合逻辑信号,得先在其所在的时钟域先寄存一拍,再做同步。这是因为组合逻辑信号会有毛刺,在快时钟域下采样极有肯能被采到。

module pulse_sync(src_clk, src_rst_n, src_pulse, dst_clk, dst_rst_n, dst_pulse);
  input       src_clk;         //source clock
  input       src_rst_n;       //source reset
  input       src_pulse;       //source pulse in

  input       dst_clk;         //destination clock
  input       dst_rst_n;       //destination reset
  output      dst_pulse;       //destination pulse out

//Internal singles
  reg      state_delay1;
  reg      state_delay2;
  reg      state_delay2;
  wire     dst_puase;
  wire     dst_puase_1;
  wire     dst_puase_2;

  always@(posedge dst_clk or negedge dst_rst_n)begin
    if(!dst_rst_n)begin
      state_delay1 <= 
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值