sdc——时序特例(path exception)
时序特例主要分为以下几种:
1.set_false_path:
2.set_multicycle_path:
3.set_max_delay:
4.set_min_delay:
1.set_false_path:
set_false_path是指芯片实际工作并不真实存在或经过的路径。比如某些静态输入信号的路径。这样的path,我们设置false_path之后,工具就不会对这条路径进行时序分析。如下面的sdc脚本:
set_false_path -from ff1/CK -through {U1/Y} -to ff2/D
2.set_multicycle_path:
一般有两种情况。一种是两个寄存器之间的组合逻辑过长,一个时钟周期无法完成数据传输。第二种是跨时钟域的传输。在设置multicycle的时候需要指定时钟周期个数,默认的hold的multicycle比setup少1。
set_multicycle_path 2 -setup -from ff1/CK -to ff2/D
set_multicycle_path 1 -hold -from ff1/CK -to ff2/D
3.set_max_delay:
设置某些路径的最大延迟,超过这个延迟就会violation。
set_max_delay 8.0 -from {ff1/CP} -to {ff2/D}
4.set_min_delay:
设置某些路径的最小延迟,比这个延迟小就会违例。
set_min_delay 4.0 -from {ff1/CP} -to {ff2/D}