Verilog 实现检测序列中高电平个数

本文介绍如何使用Verilog设计一个电路,该电路在一拍内检测输入序列中的高电平个数,并避免在同步块中使用阻塞赋值导致潜在锁存器问题。通过将输出和计数逻辑分开,确保使用纯组合逻辑计算高电平数量,并在每次计算前清零计数器。仿真结果验证了设计的正确性。
摘要由CSDN通过智能技术生成

题目描述

用 Verilog 实现一个检测序列中高电平个数的电路,要求一拍内输出。

代码

有些答案在检测序列中高电平个数时在同步块里用阻塞赋值,我是不认同的。在什么块里就应该用什么电路,在边缘触发的块里用阻塞赋值,很可能产生锁存器,不是好习惯。因此,我在代码中把输出和计算高电平个数分为两个块,计算个数的块就用纯组合逻辑实现。

需要注意的是每次计算高电平个数前要先对计数器进行清零。

`timescale 1ns / 1ps

module cnter
 #(
   parameter DATA_WIDTH = 8
  )
  (
   input                                    clk,
   input                                    rst_n,
   input      [DATA_WIDTH-1 : 0]            data,
   output reg [$clog2(DATA_WID
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值