FPGA将testbench数据写入文件
integer dout_file;
initial begin
dout_file=$fopen("F:/FPGA/fractional_differential_calculation/test_data_byFPGA_square_512_2.txt"); //定义文件位置及名称
if(dout_file == 0) begin
$display ("can not open the file!"); //如果不能打开文件夹则显示 not open the file!
$stop;
end
end
always @(posedge clk) begin
if(test_data_valid) begin //写入数据使能,
$fdisplay(dout_file,"%d",test_data_D); //写入的数据
while(!rst_n) $fclose(dout_file);//复位时关闭文件
end
end