FPGA将testbench数据写入文件

FPGA将testbench数据写入文件

 
integer dout_file;
initial begin
    dout_file=$fopen("F:/FPGA/fractional_differential_calculation/test_data_byFPGA_square_512_2.txt");    //定义文件位置及名称
      if(dout_file == 0) begin 
                $display ("can not open the file!");   //如果不能打开文件夹则显示 not open the file!
                $stop;
       end
end

always @(posedge clk) begin
     if(test_data_valid)  begin //写入数据使能,     
       $fdisplay(dout_file,"%d",test_data_D);    //写入的数据
	   while(!rst_n) $fclose(dout_file);//复位时关闭文件
	 end 
end
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值