verilog 串并转换电路

本文介绍了数字电路中的串并转换原理,通过Verilog实现1位串行到8位并行及8位并行到1位串行的转换。主要利用移位寄存器完成转换,并提供了仿真实验结果。
摘要由CSDN通过智能技术生成

数字电路中的串并转换主要设计思想来源于用面积换速度,对数据流进行管理。实现串并转换的主要方式有双口RAM,FIFO,移位寄存器等,对于数据量较大的一般使用双口RAM或者FIFO实现,数据量较小的使用移位寄存器实现。

一、串转并

1位串行数据转8位并行数据

module serial2parallel(
    input           clk,
    input           rst_n,
    input           data_valid_i,
    input           data_in,   //一位输入
    output   reg    data_valid_o,
    output   reg [
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

耐心的小黑

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值