串并转换电路

该博客介绍了两个Verilog模块,分别实现了8位并行数据到串行数据的转换(并转串)和串行数据到8位并行数据的转换(串转并)。在并转串模块中,当使能信号有效时,输出为输入数据的最高位;在串转并模块中,当使能信号有效时,输出数据左移并将输入数据放入最低位。
摘要由CSDN通过智能技术生成
//并转串,8输入1输出
module transfor
(
input			clk,
input			rst_n,
input			enable,
input	[7:0]	data_in,//并行8位数据输入
output			data_out
);

always@(posedge clk or negedge rst_n)
	begin
		if(!rst_n)
			data_out <= 0;
		else if(enable)
			data_out <= data_in[7];//输出第8位
		else
			data_in <= {data_in[6:0], 1'b0};
	end

endmodule
//串转并,1输入8输出
module transfor_parallel
(
input				clk,
input				rst_n,
input				enable,
input				data_in,
output	reg[7:0]	data_out
);

always@(posedge clk or negedge rst_n)
	begin
		if(!rst_n)
			data_out <= 8'd0;
		else if(enable)
			data_out <= {data_in[7:1], data_in};//输出放到低位
		else
			data_out <= data_out;
	end

endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值