SVA介绍----一个简单的断言

本文详细介绍了System Verilog中的序列(Sequence)和属性(Property),包括简单信号检查、边沿定义、逻辑关系检查的序列,以及普通属性和禁止属性的定义。重点讲解了如何通过断言使序列生效,并阐述了断言失败时模拟器的行为以及如何自定义执行块以处理成功或失败的情况。
摘要由CSDN通过智能技术生成

一个简单的断言

1. 序列

  • 1.1 简单的信号检查序列:
// 序列s1检查信号‘a’在每个时钟上升沿都为高电平:
seuqnce s1;
	@(posedge clk) a;
endsequence
  • 1.2 边沿定义的序列
// 序列s2检查信号‘a’在每个时钟上升沿为上升沿/下降沿/保持稳定:
seuqnce s2;
	@(posedge clk) $rose(a);      //当信号/表达式出现上升沿时返回真;
	@(posedge clk) $fell(a);      //当信号/表达式出现下降沿时返回真;
	@(posedge clk) $stable(a);    //当信号/表达式保持稳定时返回真;
endsequence
  • 1.3 逻辑关系检查序列:
/
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值